Skip to content

Commit

Permalink
wishbone/Cache: avoid REFILL_WRTAG state to improve speed.
Browse files Browse the repository at this point in the history
  • Loading branch information
enjoy-digital committed Jan 10, 2020
1 parent f408527 commit fa22d6a
Showing 1 changed file with 8 additions and 8 deletions.
16 changes: 8 additions & 8 deletions litex/soc/interconnect/wishbone.py
Original file line number Diff line number Diff line change
Expand Up @@ -606,7 +606,10 @@ def word_is_last(word):
If(tag_do.dirty,
NextState("EVICT")
).Else(
NextState("REFILL_WRTAG")
# Write the tag first to set the slave address
tag_port.we.eq(1),
word_clr.eq(1),
NextState("REFILL")
)
)
)
Expand All @@ -618,16 +621,13 @@ def word_is_last(word):
If(slave.ack,
word_inc.eq(1),
If(word_is_last(word),
NextState("REFILL_WRTAG")
# Write the tag first to set the slave address
tag_port.we.eq(1),
word_clr.eq(1),
NextState("REFILL")
)
)
)
fsm.act("REFILL_WRTAG",
# Write the tag first to set the slave address
tag_port.we.eq(1),
word_clr.eq(1),
NextState("REFILL")
)
fsm.act("REFILL",
slave.stb.eq(1),
slave.cyc.eq(1),
Expand Down

0 comments on commit fa22d6a

Please sign in to comment.