Skip to content

Commit

Permalink
stdlib: remove unnecessary undefined from records
Browse files Browse the repository at this point in the history
  • Loading branch information
kikofernandez committed Jan 16, 2023
1 parent cc646b6 commit e9a07ae
Showing 1 changed file with 4 additions and 4 deletions.
8 changes: 4 additions & 4 deletions lib/stdlib/src/supervisor.erl
Original file line number Diff line number Diff line change
Expand Up @@ -132,16 +132,16 @@
-type child_rec() :: #child{}.

-record(state, {name,
strategy :: strategy() | 'undefined',
strategy = one_for_one:: strategy(),
children = {[],#{}} :: children(), % Ids in start order
dynamics :: {'maps', #{pid() => list()}}
| {'mapsets', #{pid() => []}}
| 'undefined',
intensity :: non_neg_integer() | 'undefined',
period :: pos_integer() | 'undefined',
intensity = 1 :: non_neg_integer(),
period = 5 :: pos_integer(),
restarts = [],
dynamic_restarts = 0 :: non_neg_integer(),
auto_shutdown :: auto_shutdown(),
auto_shutdown = never :: auto_shutdown(),
module,
args}).
-type state() :: #state{}.
Expand Down

0 comments on commit e9a07ae

Please sign in to comment.