Skip to content

ispras/esoc

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

16 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Ethernet Switch on Configurable Logic IP Core

This project is a fork of the esoc project (svn revision 56) with some modifications:

  • various bug fixes;
  • setting and dropping VLAN labels.

License

Copyright (C) 2009 Bert Maarsen and OPENCORES.ORG

Copyright (C) 2019 ISP RAS

This library is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser General Public License as published by the Free Software Foundation; either version 2.1 of the License, or (at your option) any later version.

This library is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more details.

You should have received a copy of the GNU Lesser General Public License along with this library; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA

About

Ethernet Switch on Configurable Logic

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages