You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
Both ModelSim and GHDL accept this code. I guess there is an implicit resolution function for a composite type containing fields of resolved types. I could not find it by searching the LRM though.
library ieee;
use ieee.std_logic_1164.all;
entityentisendentity;
architectureaofentistyperec_tisrecord
field : std_logic;
endrecord;
signal sig : rec_t;
beginp1 : processbegin
sig <= (others=>'0');
wait;
endprocess;
p2 : processbegin
sig <= (others=>'1');
wait;
endprocess;
monitor : process (sig)
beginreportstd_logic'image(sig.field);
endprocess;
endarchitecture;
nvc -a bug.vhd && nvc -e ent && nvc -r ent/usr/lib/llvm-3.5/bin/opt -O2 -o /home/kraigher/repo/nvc_bugs/work/_WORK.ENT.final.bc /home/kraigher/repo/nvc_bugs/work/_WORK.ENT.elab.bc** Fatal: group :ent:sig has multiple drivers but no resolution function File bug.vhd, Line 12
The text was updated successfully, but these errors were encountered:
Both ModelSim and GHDL accept this code. I guess there is an implicit resolution function for a composite type containing fields of resolved types. I could not find it by searching the LRM though.
The text was updated successfully, but these errors were encountered: