Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Clarify fusesoc install #69

Closed
wants to merge 8 commits into from
Closed
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
26 changes: 26 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
@@ -0,0 +1,26 @@
# vscode user directories
**/.vs/*

# Visual Studio / VisualGDB

**/.visualgdb/*
**/*/Makefile.old
**/*/debug.mak.old
**/*/release.mak.old
**/*.vgdbsettings.*.user
**/*.vgdbproj.*.user

**/*/Debug/*
**/*/Release/*
**/*/x64/Debug
**/*/x64/Release
**/out/build/x64-Debug
**/out/build/x64-Release
**/build/VisualGDB/Debug
**/build/VisualGDB/Release

# VisualGDB auto-generated files
**/*/gcc_Debug.h
**/*/gcc_Release.h
**/*/*.vcxproj.filters
**/*/*.vcxproj.user
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -300,4 +300,4 @@ Don't go changing the clock frequency on a whim when running Zephyr. Or well, it
## TODO

- Applications have to be preloaded to RAM at compile-time
- Make it faster and smaller
- Make it faster and smaller
7 changes: 7 additions & 0 deletions data/icev_wireless.pcf
Original file line number Diff line number Diff line change
@@ -0,0 +1,7 @@
# 12 MHz clock
set_io i_clk 35

# RS232
set_io q 9

# use q 39 for red, q 40 for green, q 41 for blue LED
42 changes: 27 additions & 15 deletions servant.core
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
CAPI=2:

name : ::servant:1.2.0
name : ::servant:1.1.0

filesets:
service:
Expand Down Expand Up @@ -64,8 +64,8 @@ filesets:
- data/chameleon96/HPS.sv : {file_type : systemVerilogSource}
- data/chameleon96/CV_96.v : {file_type : verilogSource}
- servant/servive_clock_gen.v : {file_type : verilogSource}
- servant/servive.v : {file_type : verilogSource}
- servant/servive.v : {file_type : verilogSource}

deca:
files:
- data/deca.sdc : {file_type : SDC}
Expand Down Expand Up @@ -95,6 +95,7 @@ filesets:

tinyfpga_bx: {files: [data/tinyfpga_bx.pcf : {file_type : PCF}]}
icebreaker : {files: [data/icebreaker.pcf : {file_type : PCF}]}
icev_wireless : {files: [data/icev_wireless.pcf : {file_type : PCF}]}
icesugar : {files: [data/icesugar.pcf : {file_type : PCF}]}
alhambra : {files: [data/alhambra.pcf : {file_type : PCF}]}
icestick : {files: [data/icestick.pcf : {file_type : PCF}]}
Expand Down Expand Up @@ -122,7 +123,7 @@ filesets:
- servant/servax.v : {file_type : verilogSource}
- data/nexys_2.tcl : {file_type : tclSource}
- data/nexys_2.ucf : {file_type : UCF}

nexys_a7:
files:
- servant/servix_clock_gen.v : {file_type : verilogSource}
Expand Down Expand Up @@ -211,9 +212,9 @@ targets:
quartus:
family : Cyclone V
device : 5CSEBA6U19I7
board_device_index : 2
board_device_index : 2
toplevel: CV_96

deca:
default_tool : quartus
description: DECA development kit by Arrow / Terasic
Expand Down Expand Up @@ -258,6 +259,17 @@ targets:
pnr: next
toplevel : service

icev_wireless:
default_tool : icestorm
filesets : [mem_files, soc, service, icev_wireless]
generate: [icev_wireless_pll]
parameters : [memfile, memsize, PLL=ICE40_PAD]
tools:
icestorm:
nextpnr_options: [--up5k, --freq, 16]
pnr: next
toplevel : service

icesugar:
default_tool : icestorm
description : iCE40UP5K Development Board by MuseLab
Expand Down Expand Up @@ -463,7 +475,6 @@ targets:
- vcd_start
- compressed
- align
- with_csr=1
tools:
verilator:
verilator_options : [--trace]
Expand Down Expand Up @@ -507,7 +518,7 @@ parameters:
RISCV_FORMAL:
datatype : bool
paramtype : vlogdefine

MDU:
datatype : int
description : Enables RISC-V standard M-extension
Expand Down Expand Up @@ -558,28 +569,29 @@ parameters:
datatype : int
description : Delay start of VCD dumping until the specified time
paramtype : plusarg

compressed:
datatype : int
description : Enable/Disable the Compressed extension
paramtype : vlogparam

align:
datatype : int
description : Enable/Disable the Misaligned access of instruction
paramtype : vlogparam

with_csr:
datatype : int
description : Enable/Disable CSR support
paramtype : vlogparam


generate:
icebreaker_pll:
generator: icepll
parameters:
freq_out : 16

icev_wireless_pll:
generator: icepll
parameters:
freq_out : 16

icesugar_pll:
generator: icepll
parameters:
Expand Down