Skip to content

Commit

Permalink
uvma_rvfi_instr_mon.sv: fix a non compliant LRM SystemVerilog code
Browse files Browse the repository at this point in the history
Signed-off-by: Zineb El Kacimi <zineb.el-kacimi@external.thalesgroup.com>
  • Loading branch information
ZElkacimi committed Jun 7, 2022
1 parent dba97eb commit c591b4e
Showing 1 changed file with 4 additions and 2 deletions.
6 changes: 4 additions & 2 deletions lib/uvm_agents/uvma_rvfi/uvma_rvfi_instr_mon.sv
Original file line number Diff line number Diff line change
Expand Up @@ -38,6 +38,7 @@ class uvma_rvfi_instr_mon_c#(int ILEN=DEFAULT_ILEN,

// State of monitor
bit last_dcsr_nmip = 0;
bit [uvma_rvfi_csr_seq_item_c::XLEN-1:0] dcsr_ret_data;

string log_tag = "RVFIMONLOG";

Expand Down Expand Up @@ -204,11 +205,12 @@ task uvma_rvfi_instr_mon_c::monitor_rvfi_instr();
end
end

dcsr_ret_data = mon_trn.csrs["dcsr"].get_csr_retirement_data();
// In debug mode, detect NMIP event for a data bus error
if (mon_trn.dbg_mode &&
!last_dcsr_nmip &&
mon_trn.nmip[0] &&
mon_trn.csrs["dcsr"].get_csr_retirement_data()[3])
dcsr_ret_data[3])
begin
`uvm_info("RVFIMON", $sformatf("Debug NMIP"), UVM_LOW);

Expand All @@ -228,7 +230,7 @@ task uvma_rvfi_instr_mon_c::monitor_rvfi_instr();
end

// Latch the last DCSR NMIP bit to detect positive assertion of dcsr.nmip
last_dcsr_nmip = mon_trn.csrs["dcsr"].get_csr_retirement_data()[3];
last_dcsr_nmip = dcsr_ret_data[3];

`uvm_info(log_tag, $sformatf("%s", mon_trn.convert2string()), UVM_HIGH);

Expand Down

0 comments on commit c591b4e

Please sign in to comment.