Skip to content

A project as part of Digital System Design course. (Oct 2018 - Nov 2018)

Notifications You must be signed in to change notification settings

patel-soham/FPGA-distance-measurment-verilog

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

5 Commits
 
 
 
 
 
 

Repository files navigation

Distance measurement using ultrasonic sensor on FPGA

A project as part of Digital System Design course. (Oct 2018 - Nov 2018)

  • Interfaced 2 digit 7-segment and ultrasonic sensor with Waxwing Spartan 6 FPGA board using Verilog HDL on Xilinx ISE.

Files

  1. Ultrasonic verilog file (top)
  2. Seven Segment verilog file

About

A project as part of Digital System Design course. (Oct 2018 - Nov 2018)

Topics

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published