Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

rosidl generates redundant declarations #28

Closed
RoboticsYY opened this issue Nov 12, 2019 · 5 comments · Fixed by #102
Closed

rosidl generates redundant declarations #28

RoboticsYY opened this issue Nov 12, 2019 · 5 comments · Fixed by #102
Labels
bug Something isn't working help wanted Extra attention is needed

Comments

@RoboticsYY
Copy link

Bug report

Required Info:

  • Operating System:
    • Ubuntu 18.04
  • Installation type:
    • binaries
  • Version or commit hash:
    • Dashing release
  • DDS implementation:
    • Fast-RTPS

Steps to reproduce issue

source /opt/ros/dashing/setup.bash

export CXXFLAGS="-Wredundant-decls"

mkdir -p ws_ros2/src && cd ws_ros2/src
git clone https://github.com/ros2/example_interfaces.git
cd .. && colcon build 

Actual behavior

rosidl generates multiple redundant declarations.

Starting >>> example_interfaces
--- stderr: example_interfaces                                
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:622:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Goal&, eprosima::fastcdr::Cdr&)’ in same scope [-Wredundant-decls]
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:31:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Goal&, eprosima::fastcdr::Cdr&)’
 cdr_serialize(
 ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:625:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Goal&)’ in same scope [-Wredundant-decls]
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:42:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Goal&)’
 cdr_deserialize(
 ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:628:8: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Goal&, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:54:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Goal&, size_t)’
 get_serialized_size(
 ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:632:1: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Goal(bool&, size_t)’ in same scope [-Wredundant-decls]
 max_serialized_size_Fibonacci_Goal(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:77:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Goal(bool&, size_t)’
 max_serialized_size_Fibonacci_Goal(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1157:6: warning: redundant redeclaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_serialize(const UUID&, eprosima::fastcdr::Cdr&)’ in same scope [-Wredundant-decls]
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:599:6: note: previous declaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_serialize(const UUID&, eprosima::fastcdr::Cdr&)’
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1160:6: warning: redundant redeclaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, unique_identifier_msgs::msg::UUID&)’ in same scope [-Wredundant-decls]
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:602:6: note: previous declaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, unique_identifier_msgs::msg::UUID&)’
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1163:8: warning: redundant redeclaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::get_serialized_size(const UUID&, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:605:8: note: previous declaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::get_serialized_size(const UUID&, size_t)’
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1167:1: warning: redundant redeclaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::max_serialized_size_UUID(bool&, size_t)’ in same scope [-Wredundant-decls]
 max_serialized_size_UUID(
 ^~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:609:1: note: previous declaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::max_serialized_size_UUID(bool&, size_t)’
 max_serialized_size_UUID(
 ^~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1371:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Result&, eprosima::fastcdr::Cdr&)’ in same scope [-Wredundant-decls]
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:215:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Result&, eprosima::fastcdr::Cdr&)’
 cdr_serialize(
 ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1374:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Result&)’ in same scope [-Wredundant-decls]
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:228:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Result&)’
 cdr_deserialize(
 ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1377:8: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Result&, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:242:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Result&, size_t)’
 get_serialized_size(
 ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1381:1: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Result(bool&, size_t)’ in same scope [-Wredundant-decls]
 max_serialized_size_Fibonacci_Result(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:269:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Result(bool&, size_t)’
 max_serialized_size_Fibonacci_Result(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1666:6: warning: redundant redeclaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_serialize(const UUID&, eprosima::fastcdr::Cdr&)’ in same scope [-Wredundant-decls]
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1157:6: note: previous declaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_serialize(const UUID&, eprosima::fastcdr::Cdr&)’
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1669:6: warning: redundant redeclaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, unique_identifier_msgs::msg::UUID&)’ in same scope [-Wredundant-decls]
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1160:6: note: previous declaration of ‘bool unique_identifier_msgs::msg::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, unique_identifier_msgs::msg::UUID&)’
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1672:8: warning: redundant redeclaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::get_serialized_size(const UUID&, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1163:8: note: previous declaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::get_serialized_size(const UUID&, size_t)’
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1676:1: warning: redundant redeclaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::max_serialized_size_UUID(bool&, size_t)’ in same scope [-Wredundant-decls]
 max_serialized_size_UUID(
 ^~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1167:1: note: previous declaration of ‘size_t unique_identifier_msgs::msg::typesupport_fastrtps_cpp::max_serialized_size_UUID(bool&, size_t)’
 max_serialized_size_UUID(
 ^~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1689:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Feedback&, eprosima::fastcdr::Cdr&)’ in same scope [-Wredundant-decls]
 bool cdr_serialize(
      ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:410:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_serialize(const Fibonacci_Feedback&, eprosima::fastcdr::Cdr&)’
 cdr_serialize(
 ^~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1692:6: warning: redundant redeclaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Feedback&)’ in same scope [-Wredundant-decls]
 bool cdr_deserialize(
      ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:423:1: note: previous declaration of ‘bool example_interfaces::action::typesupport_fastrtps_cpp::cdr_deserialize(eprosima::fastcdr::Cdr&, example_interfaces::action::Fibonacci_Feedback&)’
 cdr_deserialize(
 ^~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1695:8: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Feedback&, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size(
        ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:437:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::get_serialized_size(const Fibonacci_Feedback&, size_t)’
 get_serialized_size(
 ^~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:1699:1: warning: redundant redeclaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Feedback(bool&, size_t)’ in same scope [-Wredundant-decls]
 max_serialized_size_Fibonacci_Feedback(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_cpp/example_interfaces/action/dds_fastrtps/fibonacci__type_support.cpp:464:1: note: previous declaration of ‘size_t example_interfaces::action::typesupport_fastrtps_cpp::max_serialized_size_Fibonacci_Feedback(bool&, size_t)’
 max_serialized_size_Fibonacci_Feedback(
 ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:588:8: warning: redundant redeclaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Goal(const void*, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Goal(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:78:8: note: previous declaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Goal(const void*, size_t)’
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Goal(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:592:8: warning: redundant redeclaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Goal(bool&, size_t)’ in same scope [-Wredundant-decls]
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Goal(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:109:8: note: previous declaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Goal(bool&, size_t)’
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Goal(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__rosidl_typesupport_fastrtps_c.h:9,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:4:
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:597:53: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Goal()’ in same scope [-Wredundant-decls]
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Goal)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:155:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Goal()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Goal)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1096:8: warning: redundant redeclaration of ‘size_t get_serialized_size_unique_identifier_msgs__msg__UUID(const void*, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:599:8: note: previous declaration of ‘size_t get_serialized_size_unique_identifier_msgs__msg__UUID(const void*, size_t)’
 size_t get_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1101:8: warning: redundant redeclaration of ‘size_t max_serialized_size_unique_identifier_msgs__msg__UUID(bool&, size_t)’ in same scope [-Wredundant-decls]
 size_t max_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:604:8: note: previous declaration of ‘size_t max_serialized_size_unique_identifier_msgs__msg__UUID(bool&, size_t)’
 size_t max_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__rosidl_typesupport_fastrtps_c.h:9,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:4:
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1107:53: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__unique_identifier_msgs__msg__UUID()’ in same scope [-Wredundant-decls]
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, unique_identifier_msgs, msg, UUID)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:610:53: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__unique_identifier_msgs__msg__UUID()’
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, unique_identifier_msgs, msg, UUID)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1294:8: warning: redundant redeclaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Result(const void*, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Result(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:259:8: note: previous declaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Result(const void*, size_t)’
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Result(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1298:8: warning: redundant redeclaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Result(bool&, size_t)’ in same scope [-Wredundant-decls]
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Result(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:295:8: note: previous declaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Result(bool&, size_t)’
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Result(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__rosidl_typesupport_fastrtps_c.h:9,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:4:
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1303:53: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Result()’ in same scope [-Wredundant-decls]
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Result)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:344:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Result()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Result)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1552:8: warning: redundant redeclaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Feedback(const void*, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Feedback(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:450:8: note: previous declaration of ‘size_t get_serialized_size_example_interfaces__action__Fibonacci_Feedback(const void*, size_t)’
 size_t get_serialized_size_example_interfaces__action__Fibonacci_Feedback(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1556:8: warning: redundant redeclaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Feedback(bool&, size_t)’ in same scope [-Wredundant-decls]
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Feedback(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:486:8: note: previous declaration of ‘size_t max_serialized_size_example_interfaces__action__Fibonacci_Feedback(bool&, size_t)’
 size_t max_serialized_size_example_interfaces__action__Fibonacci_Feedback(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__rosidl_typesupport_fastrtps_c.h:9,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:4:
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1561:53: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Feedback()’ in same scope [-Wredundant-decls]
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Feedback)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:535:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Feedback()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, example_interfaces, action, Fibonacci_Feedback)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1563:8: warning: redundant redeclaration of ‘size_t get_serialized_size_unique_identifier_msgs__msg__UUID(const void*, size_t)’ in same scope [-Wredundant-decls]
 size_t get_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1096:8: note: previous declaration of ‘size_t get_serialized_size_unique_identifier_msgs__msg__UUID(const void*, size_t)’
 size_t get_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1568:8: warning: redundant redeclaration of ‘size_t max_serialized_size_unique_identifier_msgs__msg__UUID(bool&, size_t)’ in same scope [-Wredundant-decls]
 size_t max_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1101:8: note: previous declaration of ‘size_t max_serialized_size_unique_identifier_msgs__msg__UUID(bool&, size_t)’
 size_t max_serialized_size_unique_identifier_msgs__msg__UUID(
        ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__rosidl_typesupport_fastrtps_c.h:9,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:4:
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1574:53: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__unique_identifier_msgs__msg__UUID()’ in same scope [-Wredundant-decls]
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, unique_identifier_msgs, msg, UUID)();
                                                     ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_fastrtps_c/example_interfaces/action/fibonacci__type_support_c.cpp:1107:53: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_fastrtps_c__get_message_type_support_handle__unique_identifier_msgs__msg__UUID()’
   ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_fastrtps_c, unique_identifier_msgs, msg, UUID)();
                                                     ^
In file included from /opt/ros/dashing/include/rosidl_generator_c/message_type_support_struct.h:19:0,
                 from /home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:6:
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:35:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Goal()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:19:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Goal()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_Goal)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:48:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Result()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:47:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Result()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_Result)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:61:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Feedback()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:75:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_Feedback()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_Feedback)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:74:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_SendGoal_Request()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:103:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_SendGoal_Request()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_SendGoal_Request)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:87:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_SendGoal_Response()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:131:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_SendGoal_Response()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_SendGoal_Response)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:99:3: warning: redundant redeclaration of ‘const rosidl_service_type_support_t* rosidl_typesupport_c__get_service_type_support_handle__example_interfaces__action__Fibonacci_SendGoal()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:155:51: note: previous declaration of ‘const rosidl_service_type_support_t* rosidl_typesupport_c__get_service_type_support_handle__example_interfaces__action__Fibonacci_SendGoal()’
 ROSIDL_TYPESUPPORT_INTERFACE__SERVICE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_SendGoal)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:112:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_GetResult_Request()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:183:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_GetResult_Request()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_GetResult_Request)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:125:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_GetResult_Response()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:211:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_GetResult_Response()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_GetResult_Response)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:138:3: warning: redundant redeclaration of ‘const rosidl_service_type_support_t* rosidl_typesupport_c__get_service_type_support_handle__example_interfaces__action__Fibonacci_GetResult()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:237:51: note: previous declaration of ‘const rosidl_service_type_support_t* rosidl_typesupport_c__get_service_type_support_handle__example_interfaces__action__Fibonacci_GetResult()’
 ROSIDL_TYPESUPPORT_INTERFACE__SERVICE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_GetResult)() {
                                                   ^
/home/yy/ws_test/build/example_interfaces/rosidl_generator_c/example_interfaces/action/fibonacci__type_support.h:151:3: warning: redundant redeclaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_FeedbackMessage()’ in same scope [-Wredundant-decls]
   rosidl_typesupport_c,
   ^
/home/yy/ws_test/build/example_interfaces/rosidl_typesupport_c/example_interfaces/action/fibonacci__type_support.cpp:265:51: note: previous declaration of ‘const rosidl_message_type_support_t* rosidl_typesupport_c__get_message_type_support_handle__example_interfaces__action__Fibonacci_FeedbackMessage()’
 ROSIDL_TYPESUPPORT_INTERFACE__MESSAGE_SYMBOL_NAME(rosidl_typesupport_c, example_interfaces, action, Fibonacci_FeedbackMessage)() {
                                                   ^
---
@claireyywang
Copy link

Thanks for filing an issue! Confirming that this is still a problem in Eloquent.

@claireyywang claireyywang transferred this issue from ros2/rosidl Jan 23, 2020
@claireyywang claireyywang added the bug Something isn't working label Jan 23, 2020
@AndyZe
Copy link

AndyZe commented Nov 8, 2020

It's still an issue in Foxy as well.

AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Nov 8, 2020
AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Nov 8, 2020
AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Nov 8, 2020
@clalancette
Copy link
Contributor

Yeah, it looks like this is still a problem. This would be nice to fix, but it isn't currently a high priority for us. If someone would like to look into it and propose a patch, though, we would be happy to review it.

@clalancette clalancette added the help wanted Extra attention is needed label Nov 9, 2020
@claireyywang claireyywang removed their assignment Feb 18, 2021
AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Apr 14, 2021
AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Apr 14, 2021
AndyZe added a commit to UniversalRobots/Universal_Robots_ROS2_Driver that referenced this issue Apr 14, 2021
@ehiker
Copy link

ehiker commented Apr 17, 2023

Hi, I met the issue during the Navigation2 stack (package nav2_msgs) building from master source files ( SHA ff4fd54076c64f6782b821c60319686413f2551b ) after the latest ROS 2 Rolling update.

ROSIDL version which I used:

$apt search rosidl-typesupport-fastrtps
Sorting... Done
Full Text Search... Done
ros-humble-rosidl-typesupport-fastrtps-c/jammy 2.2.0-2jammy.20230112.145514 amd64
  Generate the C interfaces for eProsima FastRTPS.

ros-humble-rosidl-typesupport-fastrtps-c-dbgsym/jammy 2.2.0-2jammy.20230112.145514 amd64
  debug symbols for ros-humble-rosidl-typesupport-fastrtps-c

ros-humble-rosidl-typesupport-fastrtps-cpp/jammy 2.2.0-2jammy.20230112.145146 amd64
  Generate the C++ interfaces for eProsima FastRTPS.

ros-humble-rosidl-typesupport-fastrtps-cpp-dbgsym/jammy 2.2.0-2jammy.20230112.145146 amd64
  debug symbols for ros-humble-rosidl-typesupport-fastrtps-cpp

ros-rolling-rosidl-typesupport-fastrtps-c/jammy,now 3.0.0-1jammy.20230412.153602 amd64 [installed,automatic]
  Generate the C interfaces for eProsima FastRTPS.

ros-rolling-rosidl-typesupport-fastrtps-c-dbgsym/jammy 3.0.0-1jammy.20230412.153602 amd64
  debug symbols for ros-rolling-rosidl-typesupport-fastrtps-c

ros-rolling-rosidl-typesupport-fastrtps-cpp/jammy,now 3.0.0-1jammy.20230412.153015 amd64 [installed,automatic]
  Generate the C++ interfaces for eProsima FastRTPS.

ros-rolling-rosidl-typesupport-fastrtps-cpp-dbgsym/jammy 3.0.0-1jammy.20230412.153015 amd64
  debug symbols for ros-rolling-rosidl-typesupport-fastrtps-cpp

The error I met during the building:

--- stderr: nav2_msgs                                         
In file included from /home/mat/nav2_ws/build/nav2_msgs/rosidl_typesupport_fastrtps_cpp/nav2_msgs/msg/detail/collision_monitor_state__rosidl_typesupport_fastrtps_cpp.hpp:11,
                 from /home/mat/nav2_ws/build/nav2_msgs/rosidl_typesupport_fastrtps_cpp/nav2_msgs/msg/detail/dds_fastrtps/collision_monitor_state__type_support.cpp:4:
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:149:19: error: redundant redeclaration of ‘constexpr’ static data member ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::DO_NOTHING’ [-Werror=deprecated]
  149 | constexpr uint8_t CollisionMonitorState_<ContainerAllocator>::DO_NOTHING;
      |                   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:80:28: note: previous declaration of ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::DO_NOTHING’
   80 |   static constexpr uint8_t DO_NOTHING =
      |                            ^~~~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:151:19: error: redundant redeclaration of ‘constexpr’ static data member ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::STOP’ [-Werror=deprecated]
  151 | constexpr uint8_t CollisionMonitorState_<ContainerAllocator>::STOP;
      |                   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:82:28: note: previous declaration of ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::STOP’
   82 |   static constexpr uint8_t STOP =
      |                            ^~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:153:19: error: redundant redeclaration of ‘constexpr’ static data member ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::SLOWDOWN’ [-Werror=deprecated]
  153 | constexpr uint8_t CollisionMonitorState_<ContainerAllocator>::SLOWDOWN;
      |                   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:84:28: note: previous declaration of ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::SLOWDOWN’
   84 |   static constexpr uint8_t SLOWDOWN =
      |                            ^~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:155:19: error: redundant redeclaration of ‘constexpr’ static data member ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::APPROACH’ [-Werror=deprecated]
  155 | constexpr uint8_t CollisionMonitorState_<ContainerAllocator>::APPROACH;
      |                   ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/mat/nav2_ws/build/nav2_msgs/rosidl_generator_cpp/nav2_msgs/msg/detail/collision_monitor_state__struct.hpp:86:28: note: previous declaration of ‘nav2_msgs::msg::CollisionMonitorState_<ContainerAllocator>::APPROACH’
   86 |   static constexpr uint8_t APPROACH =
      |                            ^~~~~~~~
cc1plus: all warnings being treated as errors
gmake[2]: *** [CMakeFiles/nav2_msgs__rosidl_typesupport_fastrtps_cpp.dir/build.make:384: CMakeFiles/nav2_msgs__rosidl_typesupport_fastrtps_cpp.dir/rosidl_typesupport_fastrtps_cpp/nav2_msgs/msg/detail/dds_fastrtps/collision_monitor_state__type_support.cpp.o] Error 1

I solved it temporarily by disabling the particular error. It is reported as a warning.

export CXXFLAGS="-Wno-error=deprecated"
colcon build --symlink-install --event-handlers console_direct+

@emersonknapp
Copy link
Contributor

emersonknapp commented Jun 6, 2023

@ehiker I believe that yours is not the same issue - see my investigation results at ros2/rosidl#743 (comment)

The initial report was about function declarations, but the problem you've seen is about static constexpr member variables for const/enum values in message definitions.

That said, I am able to reproduce this on latest Rolling sources, so it's still an existing (independent) issue - but it is limited to rosidl_typesupport_fastrtps_* as it is specific to their generated code templates. I'll also note that the redundant declaration is limited to srv and action interfaces, which are implemented as compositions using msgs as the atomic unit - so something is including the msg template code more times than necessary, is my guess

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
bug Something isn't working help wanted Extra attention is needed
Projects
Status: Done
6 participants