Skip to content

"Hello world" example using iCE40-HX8K FPGA and Project IceStorm

Notifications You must be signed in to change notification settings

rwmjones/icestorm-flash-leds

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

"Hello world" which gets the LEDs to flash on the
iCE40-HX8K FPGA and Project IceStorm.

Use ‘make’ to build.

Use ‘[sudo] make prog’ to program the FPGA.

See also:
https://rwmj.wordpress.com/2018/03/17/playing-with-picorv32-on-the-ice40-hx8k-fpga-breakout-board-part-1/

About

"Hello world" example using iCE40-HX8K FPGA and Project IceStorm

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published