Skip to content
sybreon edited this page Apr 10, 2012 · 1 revision

Assumptions

The simulation uses Icarus Verilog. You should also install gtkwave as a waveform viewer, unless you already have something else to use.

If you do not have any of it installed, you will need to do that first. To do this in Ubuntu, simply issue:

$ sudo apt-get -y install iverilog gtkwave

Simulation

To simulate the DCPU16 RTL code, do the following from within the project directory.

$ cd sim/
$ ./iversim verilog/dcpu16_sim.v

This will dump out a LXT file that you can view with gtkwave:

$ gtkwave dump.lxt

That's it!

Have fun hacking!

Clone this wiki locally