Skip to content

Commit

Permalink
Bump all submodules for chisel 3.2.0 and rocket-chip august-2019 (#358)
Browse files Browse the repository at this point in the history
* Bump all submodules for chisel 3.2.0 and rocket-chip august-2019

* Fix subprojects that aren't tested from normal sims

* Fix firechip for chisel 3.2.0 and rc bump

* Bump boom for bug fix rebase

* [sbt] Don't rely on target-rtl symlink when FireSim is top [no ci]

* Bump boom for rc bump fix to bug fix

* Bump FireSim for CI check

* Bump FireSim

* Bump submodules after merge
  • Loading branch information
colinschmidt authored and davidbiancolin committed Dec 12, 2019
1 parent c0564d3 commit 86a473d
Show file tree
Hide file tree
Showing 22 changed files with 36 additions and 33 deletions.
15 changes: 9 additions & 6 deletions build.sbt
Original file line number Diff line number Diff line change
Expand Up @@ -7,14 +7,14 @@ lazy val chipyardRoot = RootProject(file("."))
lazy val commonSettings = Seq(
organization := "edu.berkeley.cs",
version := "1.0",
scalaVersion := "2.12.4",
scalaVersion := "2.12.10",
traceLevel := 15,
test in assembly := {},
assemblyMergeStrategy in assembly := { _ match {
case PathList("META-INF", "MANIFEST.MF") => MergeStrategy.discard
case _ => MergeStrategy.first}},
scalacOptions ++= Seq("-deprecation","-unchecked","-Xsource:2.11"),
libraryDependencies += "org.scalatest" %% "scalatest" % "3.0.5" % "test",
libraryDependencies += "org.scalatest" %% "scalatest" % "3.0.8" % "test",
libraryDependencies += "org.json4s" %% "json4s-jackson" % "3.6.1",
libraryDependencies += "org.scala-lang" % "scala-reflect" % scalaVersion.value,
libraryDependencies += "com.github.scopt" %% "scopt" % "3.7.0",
Expand All @@ -35,7 +35,7 @@ lazy val firesimAsLibrary = sys.env.get("FIRESIM_STANDALONE") == None
lazy val firesimDir = if (firesimAsLibrary) {
file("sims/firesim/sim/")
} else {
file("../../")
file("../../sim")
}

// Checks for -DROCKET_USE_MAVEN.
Expand Down Expand Up @@ -111,9 +111,12 @@ lazy val hardfloat = (project in rocketChipDir / "hardfloat")
lazy val rocketMacros = (project in rocketChipDir / "macros")
.settings(commonSettings)

lazy val rocketConfig = (project in rocketChipDir / "api-config-chipsalliance/build-rules/sbt")
.settings(commonSettings)

lazy val rocketchip = freshProject("rocketchip", rocketChipDir)
.settings(commonSettings)
.dependsOn(chisel, hardfloat, rocketMacros)
.dependsOn(chisel, hardfloat, rocketMacros, rocketConfig)

lazy val testchipip = (project in file("generators/testchipip"))
.dependsOn(rocketchip)
Expand Down Expand Up @@ -181,15 +184,15 @@ lazy val sifive_blocks = (project in file("generators/sifive-blocks"))

lazy val sifive_cache = (project in file("generators/sifive-cache")).settings(
commonSettings,
scalaSource in Compile := baseDirectory.value / "craft"
scalaSource in Compile := baseDirectory.value / "design/craft"
).dependsOn(rocketchip)

// Library components of FireSim
lazy val midas = ProjectRef(firesimDir, "midas")
lazy val firesimLib = ProjectRef(firesimDir, "firesimLib")

lazy val firechip = (project in file("generators/firechip"))
.dependsOn(example, icenet, testchipip, tracegen, midasTargetUtils, midas, firesimLib % "test->test;compile->compile")
.dependsOn(boom, hwacha, example, icenet, testchipip, sifive_blocks, sifive_cache, sha3, utilities, tracegen, midasTargetUtils, midas, firesimLib % "test->test;compile->compile")
.settings(
commonSettings,
testGrouping in Test := isolateAllTests( (definedTests in Test).value )
Expand Down
2 changes: 1 addition & 1 deletion generators/example/src/main/scala/Generator.scala
Original file line number Diff line number Diff line change
Expand Up @@ -31,7 +31,7 @@ object Generator extends GeneratorApp {
}

// specify the name that the generator outputs files as
val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
override lazy val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs

// generate files
generateFirrtl
Expand Down
6 changes: 3 additions & 3 deletions generators/example/src/main/scala/TestHarness.scala
Original file line number Diff line number Diff line change
Expand Up @@ -30,7 +30,7 @@ class TestHarness(implicit val p: Parameters) extends Module {

val dut = p(BuildTop)(clock, reset.toBool, p)

dut.debug := DontCare
dut.debug.foreach(_ := DontCare)
dut.connectSimAXIMem()
dut.connectSimAXIMMIO()
dut.dontTouchPorts()
Expand Down Expand Up @@ -65,7 +65,7 @@ class TestHarnessWithDTM(implicit p: Parameters) extends Module

val dut = p(BuildTopWithDTM)(clock, reset.toBool, p)

dut.reset := reset.asBool | dut.debug.ndreset
dut.reset := reset.asBool | dut.debug.get.ndreset
dut.connectSimAXIMem()
dut.connectSimAXIMMIO()
dut.dontTouchPorts()
Expand All @@ -83,5 +83,5 @@ class TestHarnessWithDTM(implicit p: Parameters) extends Module
}
})

Debug.connectDebug(dut.debug, clock, reset.asBool, io.success)
Debug.connectDebug(dut.debug, dut.psd, clock, reset.asBool, io.success)
}
4 changes: 2 additions & 2 deletions generators/firechip/src/main/scala/BridgeBinders.scala
Original file line number Diff line number Diff line change
Expand Up @@ -21,13 +21,13 @@ import firesim.util.RegisterBridgeBinder
import tracegen.HasTraceGenTilesModuleImp

class WithTiedOffDebug extends RegisterBridgeBinder({ case target: HasPeripheryDebugModuleImp =>
target.debug.clockeddmi.foreach({ cdmi =>
target.debug.foreach(_.clockeddmi.foreach({ cdmi =>
cdmi.dmi.req.valid := false.B
cdmi.dmi.req.bits := DontCare
cdmi.dmi.resp.ready := false.B
cdmi.dmiClock := false.B.asClock
cdmi.dmiReset := false.B
})
}))
Seq()
})

Expand Down
6 changes: 3 additions & 3 deletions generators/firechip/src/main/scala/Generator.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ package firesim.firesim

import java.io.{File, FileWriter}

import chisel3.experimental.RawModule
import chisel3.RawModule
import chisel3.internal.firrtl.{Circuit, Port}

import freechips.rocketchip.diplomacy.{ValName, AutoBundle}
Expand Down Expand Up @@ -58,7 +58,7 @@ trait IsFireSimGeneratorLike extends HasFireSimGeneratorUtilities with HasTestSu
}

object FireSimGenerator extends App with IsFireSimGeneratorLike {
val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
override lazy val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
lazy val generatorArgs = GeneratorArgs(args)
lazy val genDir = new File(names.targetDir)
// The only reason this is not generateFirrtl; generateAnno is that we need to use a different
Expand All @@ -70,7 +70,7 @@ object FireSimGenerator extends App with IsFireSimGeneratorLike {

// For now, provide a separate generator app when not specifically building for FireSim
object Generator extends freechips.rocketchip.util.GeneratorApp with HasTestSuites {
val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
override lazy val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
generateFirrtl
generateAnno
generateTestSuiteMakefrags
Expand Down
4 changes: 2 additions & 2 deletions generators/firechip/src/main/scala/TargetConfigs.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,7 +10,7 @@ import freechips.rocketchip.tilelink._
import freechips.rocketchip.rocket.DCacheParams
import freechips.rocketchip.subsystem._
import freechips.rocketchip.devices.tilelink.BootROMParams
import freechips.rocketchip.devices.debug.DebugModuleParams
import freechips.rocketchip.devices.debug.{DebugModuleParams, DebugModuleKey}
import boom.common.BoomTilesKey
import testchipip.{BlockDeviceKey, BlockDeviceConfig}
import sifive.blocks.devices.uart.{PeripheryUARTKey, UARTParams}
Expand Down Expand Up @@ -77,7 +77,7 @@ class WithBoomL2TLBs(entries: Int) extends Config((site, here, up) => {

// Disables clock-gating; doesn't play nice with our FAME-1 pass
class WithoutClockGating extends Config((site, here, up) => {
case DebugModuleParams => up(DebugModuleParams, site).copy(clockGate = false)
case DebugModuleKey => up(DebugModuleKey, site).map(_.copy(clockGate = false))
})

// Testing configurations
Expand Down
2 changes: 1 addition & 1 deletion generators/icenet
2 changes: 1 addition & 1 deletion generators/rocket-chip
2 changes: 1 addition & 1 deletion generators/sha3
Submodule sha3 updated 100 files
2 changes: 1 addition & 1 deletion generators/sifive-cache
Submodule sifive-cache updated 28 files
+28 −0 .travis.yml
+2 −2 build-rules/wake/build.wake
+23 −0 continuous-integration/travis/install_deps_linux.sh
+14 −0 continuous-integration/travis/install_deps_osx.sh
+16 −0 continuous-integration/travis/test.sh
+0 −0 design/craft/inclusivecache/src/BankedStore.scala
+0 −0 design/craft/inclusivecache/src/Configs.scala
+0 −0 design/craft/inclusivecache/src/Directory.scala
+0 −0 design/craft/inclusivecache/src/InclusiveCache.scala
+0 −0 design/craft/inclusivecache/src/InclusiveCacheLogicalTreeNode.scala
+0 −0 design/craft/inclusivecache/src/ListBuffer.scala
+0 −0 design/craft/inclusivecache/src/MSHR.scala
+0 −0 design/craft/inclusivecache/src/OMCacheMaster.scala
+1 −0 design/craft/inclusivecache/src/OMInclusiveCache.scala
+0 −0 design/craft/inclusivecache/src/Parameters.scala
+0 −0 design/craft/inclusivecache/src/QueuedRequest.scala
+0 −0 design/craft/inclusivecache/src/Scheduler.scala
+0 −0 design/craft/inclusivecache/src/SinkA.scala
+0 −0 design/craft/inclusivecache/src/SinkC.scala
+0 −0 design/craft/inclusivecache/src/SinkD.scala
+0 −0 design/craft/inclusivecache/src/SinkE.scala
+0 −0 design/craft/inclusivecache/src/SinkX.scala
+0 −0 design/craft/inclusivecache/src/SourceA.scala
+0 −0 design/craft/inclusivecache/src/SourceB.scala
+0 −0 design/craft/inclusivecache/src/SourceC.scala
+0 −0 design/craft/inclusivecache/src/SourceD.scala
+0 −0 design/craft/inclusivecache/src/SourceE.scala
+0 −0 design/craft/inclusivecache/src/SourceX.scala
2 changes: 1 addition & 1 deletion generators/tracegen/src/main/scala/TestHarness.scala
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ class TestHarness(implicit p: Parameters) extends Module {

object Generator extends GeneratorApp {
// specify the name that the generator outputs files as
val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs
override lazy val longName = names.topModuleProject + "." + names.topModuleClass + "." + names.configs

// generate files
generateFirrtl
Expand Down
2 changes: 1 addition & 1 deletion generators/utilities/src/main/scala/Subsystem.scala
Original file line number Diff line number Diff line change
Expand Up @@ -66,7 +66,7 @@ trait HasBoomAndRocketTiles extends HasTiles
def treeNode: RocketTileLogicalTreeNode = new RocketTileLogicalTreeNode(rocketLogicalTree.getOMInterruptTargets)
LogicalModuleTree.add(logicalTreeNode, rocketLogicalTree)

connectInterrupts(tile, Some(debug), clintOpt, plicOpt)
connectInterrupts(tile, debugOpt, clintOpt, plicOpt)

tile
}
Expand Down
2 changes: 1 addition & 1 deletion sims/firesim
Submodule firesim updated 65 files
+1 −0 .gitignore
+5 −1 build-setup-nolog.sh
+134 −51 deploy/runtools/firesim_topology_elements.py
+25 −11 deploy/runtools/firesim_topology_with_passes.py
+86 −6 deploy/runtools/run_farm.py
+14 −35 deploy/runtools/runtime_config.py
+0 −1 deploy/runtools/user_topology.py
+12 −12 deploy/sample-backup-configs/sample_config_build.ini
+9 −33 deploy/sample-backup-configs/sample_config_hwdb.ini
+2 −2 deploy/workloads/linux-poweroff-all-no-nic.ini
+49 −0 scripts/install-nbd-kmod.sh
+5 −5 sim/Makefile
+3 −3 sim/build.sbt
+27 −12 sim/firesim-lib/src/main/cc/bridges/blockdev.cc
+1 −1 sim/firesim-lib/src/main/cc/bridges/blockdev.h
+2 −2 sim/firesim-lib/src/main/scala/bridges/SimpleNICBridge.scala
+2 −2 sim/firesim-lib/src/main/scala/bridges/UARTBridge.scala
+2 −2 sim/firesim-lib/src/main/scala/passes/AsyncResetReg.scala
+0 −2 sim/firesim-lib/src/main/scala/util/Configs.scala
+0 −1 sim/firesim-lib/src/main/scala/util/DefaultFireSimHarness.scala
+3 −4 sim/firesim-lib/src/main/scala/util/GeneratorUtils.scala
+1 −1 sim/firesim-lib/src/test/scala/TestSuiteCommon.scala
+0 −90 sim/midas/src/main/scala/midas/Compiler.scala
+9 −7 sim/midas/src/main/scala/midas/Config.scala
+0 −1 sim/midas/src/main/scala/midas/FPGAQoRShimGenerator.scala
+1 −1 sim/midas/src/main/scala/midas/core/Channel.scala
+0 −1 sim/midas/src/main/scala/midas/core/ClockDomainCrossing.scala
+7 −7 sim/midas/src/main/scala/midas/core/FPGATop.scala
+18 −16 sim/midas/src/main/scala/midas/core/SimWrapper.scala
+1 −1 sim/midas/src/main/scala/midas/models/dram/BankConflictModel.scala
+3 −3 sim/midas/src/main/scala/midas/models/dram/EgressUnit.scala
+1 −2 sim/midas/src/main/scala/midas/models/dram/FASEDMemoryTimingModel.scala
+1 −2 sim/midas/src/main/scala/midas/models/dram/Util.scala
+0 −1 sim/midas/src/main/scala/midas/models/sram/AsyncMemModel.scala
+0 −1 sim/midas/src/main/scala/midas/models/sram/ModelGenerator.scala
+1 −2 sim/midas/src/main/scala/midas/models/sram/RegfileModel.scala
+35 −0 sim/midas/src/main/scala/midas/passes/Compilers.scala
+6 −17 sim/midas/src/main/scala/midas/passes/MidasTransforms.scala
+0 −92 sim/midas/src/main/scala/midas/passes/PlatformMapping.scala
+60 −29 sim/midas/src/main/scala/midas/passes/SimulationMapping.scala
+4 −4 sim/midas/src/main/scala/midas/passes/fame/FAMETransform.scala
+1 −1 sim/midas/src/main/scala/midas/passes/fame/JsonProtocol.scala
+2 −3 sim/midas/src/main/scala/midas/platform/F1Shim.scala
+2 −3 sim/midas/src/main/scala/midas/platform/ZynqShim.scala
+3 −5 sim/midas/src/main/scala/midas/stage/GoldenGateCompilerPhase.scala
+1 −1 sim/midas/src/main/scala/midas/stage/RuntimeConfigGenerationPhase.scala
+2 −2 sim/midas/src/main/scala/midas/widgets/HostPort.scala
+1 −1 sim/midas/src/main/scala/midas/widgets/Lib.scala
+1 −1 sim/midas/src/main/scala/midas/widgets/PeekPokeIO.scala
+0 −1 sim/midas/src/main/scala/midas/widgets/Widget.scala
+1 −1 sim/midas/src/main/scala/strober/core/DaisyChain.scala
+5 −5 sim/midas/src/main/scala/strober/core/TraceQueue.scala
+1 −1 sim/midas/targetutils/src/main/scala/midas/annotations.scala
+3 −2 sim/src/main/makefrag/firesim/Makefrag
+0 −1 sim/src/main/scala/fasedtests/AXI4Fuzzer.scala
+1 −1 sim/src/main/scala/midasexamples/GCD.scala
+1 −1 sim/src/main/scala/midasexamples/Parity.scala
+1 −1 sim/src/main/scala/midasexamples/PointerChaser.scala
+0 −1 sim/src/main/scala/midasexamples/PrintfModule.scala
+1 −1 sim/src/main/scala/midasexamples/Risc.scala
+4 −4 sim/src/main/scala/midasexamples/RiscSRAM.scala
+1 −1 sim/src/main/scala/midasexamples/Util.scala
+1 −1 sim/src/main/scala/midasexamples/VerilogAccumulator.scala
+0 −1 sim/src/main/scala/midasexamples/WireInterconnect.scala
+1 −1 target-design/chipyard
2 changes: 1 addition & 1 deletion tools/chisel3
2 changes: 1 addition & 1 deletion tools/firrtl
2 changes: 1 addition & 1 deletion variables.mk
Original file line number Diff line number Diff line change
Expand Up @@ -140,7 +140,7 @@ JAVA_ARGS ?= -Xmx$(JAVA_HEAP_SIZE) -Xss8M -XX:MaxPermSize=256M
#########################################################################################
# default sbt launch command
#########################################################################################
SCALA_VERSION=2.12.4
SCALA_VERSION=2.12.10
SCALA_VERSION_MAJOR=$(basename $(SCALA_VERSION))

SBT ?= java $(JAVA_ARGS) -jar $(ROCKETCHIP_DIR)/sbt-launch.jar ++$(SCALA_VERSION)
Expand Down

0 comments on commit 86a473d

Please sign in to comment.