Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix uvm_sequencer wrong reference type #4497

Open
wsnyder opened this issue Sep 16, 2023 · 1 comment · May be fixed by #4629
Open

Fix uvm_sequencer wrong reference type #4497

wsnyder opened this issue Sep 16, 2023 · 1 comment · May be fixed by #4629
Labels
area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'

Comments

@wsnyder
Copy link
Member

wsnyder commented Sep 16, 2023

Towards UVM elaboration #1538 fix class parameterization of the following:

function uvm_sequencer::new (string name, uvm_component parent=null);
  super.new(name, parent);
  //vvv %Error: t/t_uvm_pkg_todo.vh:19869:21: Function Argument expects a CLASSREFDTYPE 'uvm_sequencer__Tz97_TBz97', got CLASSREFDTYPE 'uvm_sequencer__Tz97'
  seq_item_export = new ("seq_item_export", this);
endfunction
@wsnyder wsnyder added area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned' labels Sep 16, 2023
@donlon
Copy link
Contributor

donlon commented Sep 16, 2023

V3Param doesn't generate correct new name for some parameterized classes. I think I could have a try to fix it...

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
area: elaboration Issue involves elaboration phase status: ready Issue is ready for someone to fix; then goes to 'status: assigned'
Projects
None yet
Development

Successfully merging a pull request may close this issue.

2 participants