Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Blocking assignments used in always_ff blocks #4

Closed
stevobailey opened this issue Oct 1, 2021 · 3 comments
Closed

Blocking assignments used in always_ff blocks #4

stevobailey opened this issue Oct 1, 2021 · 3 comments

Comments

@stevobailey
Copy link
Contributor

stevobailey commented Oct 1, 2021

Please use non-blocking assignments in all always_ff blocks. I see blocking assignments in these locations

vproc_alu.sv     lines 370-380
vproc_elem.sv    lines 380-394
vproc_lsu.sv     lines 502-510
vproc_mul.sv     lines 359-367
vproc_sld.sv     lines 330-344
@michael-platzer
Copy link
Contributor

Thanks, this was unintentional and fixing it uncovered a problem in the ELEM unit.

I will add verible (which catches this issue) for linting to the repo and also check for these kind of issues in CI.

@stevobailey
Copy link
Contributor Author

Seems like I missed one:

vproc_vregfile.sv line 104

michael-platzer added a commit that referenced this issue Oct 5, 2021
@michael-platzer
Copy link
Contributor

Apologies, I missed that one. These issues are now caught by the linting tool that also runs as part of CI.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants