-
Notifications
You must be signed in to change notification settings - Fork 54
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
integrate agile hardware library components (#44)
* integrate agile hardware library components * hardware documentation on sphinx enable hw cw formatting verilog formatting fixed deps fixed arith renaming python3 for test hw script add images images from links
- Loading branch information
Showing
82 changed files
with
2,404 additions
and
96 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -135,7 +135,6 @@ venv.bak/ | |
|
||
# mypy | ||
.mypy_cache/ | ||
*.png | ||
|
||
# vscode | ||
.vscode | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
File renamed without changes.
File renamed without changes.
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,77 @@ | ||
|
||
module mac #( | ||
parameter PRECISION = top_pkg::FLOAT_32, | ||
parameter FLOAT_WIDTH = 32, | ||
parameter DATA_WIDTH = 32 | ||
) ( | ||
input logic core_clk, | ||
input logic resetn, | ||
|
||
input logic in_valid, | ||
output logic in_ready, | ||
|
||
input logic [DATA_WIDTH-1:0] a, | ||
input logic [DATA_WIDTH-1:0] b, | ||
|
||
output logic [DATA_WIDTH-1:0] accumulator, | ||
|
||
input logic overwrite, | ||
input logic [DATA_WIDTH-1:0] overwrite_data | ||
|
||
); | ||
|
||
if (PRECISION == top_pkg::FLOAT_32) begin | ||
|
||
float_mac #( | ||
.FLOAT_WIDTH(FLOAT_WIDTH) | ||
) float_mac_i ( | ||
.core_clk, | ||
.resetn, | ||
|
||
.in_valid, | ||
.in_ready, | ||
|
||
.a, | ||
.b, | ||
|
||
.overwrite, | ||
.overwrite_data, | ||
|
||
.accumulator | ||
); | ||
|
||
end else begin | ||
|
||
fixed_point_mac #( | ||
.DATA_WIDTH(DATA_WIDTH) | ||
) fixed_point_mac_i ( | ||
.core_clk, | ||
.resetn, | ||
|
||
.in_valid, | ||
.in_ready, | ||
|
||
.a, | ||
.b, | ||
|
||
.overwrite, | ||
.overwrite_data, | ||
|
||
.accumulator | ||
); | ||
|
||
end | ||
|
||
// ====================================================================================================== | ||
// Assertions | ||
// ====================================================================================================== | ||
|
||
P_acc_constant : | ||
cover property ( | ||
@(posedge core_clk) disable iff (!resetn) | ||
(in_valid && in_ready) |=> (accumulator == $past( | ||
accumulator, 1 | ||
))); | ||
|
||
endmodule | ||
|
File renamed without changes.
Empty file.
Empty file.
Oops, something went wrong.