Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Xilinx tools not correctly implementing asynchronous FIFO. #13

Closed
drichmond opened this issue Aug 9, 2016 · 4 comments
Closed

Xilinx tools not correctly implementing asynchronous FIFO. #13

drichmond opened this issue Aug 9, 2016 · 4 comments

Comments

@drichmond
Copy link
Collaborator

This causes a missing first-dword in about 1-in-10 transfers.

Does not seem to affect Altera (unlikely it's a logic bug in our code)

@cjmraziii
Copy link

What was the resolution of this issue?

@drichmond
Copy link
Collaborator Author

Wasn't Xilinx specific. In the end, the state machine in tx_port_monitor had a bug in it, that was caused by an earlier fix on a related issue.

Why do you ask?

@cjmraziii
Copy link

I am seeing an issue that sounds similar where sometimes the 1st two DWORDS are coming through wrong. It seems to be much less prevalent using the user_clk output of the RIFFA module vs my internal 100MHz asynchronous clock. Was the issue resolved in 2.2.2?

@drichmond
Copy link
Collaborator Author

Yes

On Nov 18, 2016, at 11:11 AM, cjmraziii notifications@github.com wrote:

I am seeing an issue that sounds similar where sometimes the 1st two DWORDS are coming through wrong. It seems to be much less prevalent using the user_clk output of the RIFFA module vs my internal 100MHz asynchronous clock. Was the issue resolved in 2.2.2?


You are receiving this because you modified the open/close state.
Reply to this email directly, view it on GitHub #13 (comment), or mute the thread https://github.com/notifications/unsubscribe-auth/AGFUdJKQGAnExhBbZtW78AyJLIc8Ogm7ks5q_fhlgaJpZM4JgRDp.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants