Skip to content
This repository has been archived by the owner on Apr 12, 2021. It is now read-only.

Commit

Permalink
symbiyosys: 2018.07.26 -> 2018.09.12
Browse files Browse the repository at this point in the history
Signed-off-by: Austin Seipp <aseipp@pobox.com>
  • Loading branch information
thoughtpolice committed Oct 2, 2018
1 parent d739302 commit 919a3b7
Showing 1 changed file with 3 additions and 3 deletions.
6 changes: 3 additions & 3 deletions pkgs/applications/science/logic/symbiyosys/default.nix
Original file line number Diff line number Diff line change
Expand Up @@ -2,13 +2,13 @@

stdenv.mkDerivation rec {
name = "symbiyosys-${version}";
version = "2018.07.26";
version = "2018.09.12";

src = fetchFromGitHub {
owner = "yosyshq";
repo = "symbiyosys";
rev = "2fef25f93dd1cb5137a08e71f507e3eee8100fb1";
sha256 = "103fga0n11h4n2q346xyz3k0615d9lgx2b8sqr1pwn2hx26kchav";
rev = "e90bcb588e97118af0cdba23fae562fb0efbf294";
sha256 = "16nlimpdc3g6lghwqpyirgrr1d9mgk4wg3c06fvglzaicvjixnfr";
};

buildInputs = [ python3 yosys ];
Expand Down

0 comments on commit 919a3b7

Please sign in to comment.