Description
Description
The same cover between signal and port behave differently. Test file with out port "a" and signal "b". Both have identical cover.
Using image: hdlc/formal:all
SBY 13:37:55 [test_cover] summary: engine_0 (smtbmc) returned pass
SBY 13:37:55 [test_cover] summary: cover trace: test_cover/engine_0/trace0.vcd
SBY 13:37:55 [test_cover] summary: reached cover statement test.cover_a at in step 0
SBY 13:37:55 [test_cover] summary: cover trace: test_cover/engine_0/trace1.vcd
SBY 13:37:55 [test_cover] summary: reached cover statement test.cover_b at in step 12
Expected behaviour
cover_a and cover_b results should be identical.
How to reproduce?
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test is
port(
clk_in: in std_logic;
a: out std_logic
);
end;
architecture formal of test is
signal b: std_logic;
begin
default clock is rising_edge(clk_in);
cover_a: cover {a[*13]};
cover_b: cover {b[*13]};
end;
[tasks]
bmc
cover
[options]
bmc: mode bmc
bmc: depth 50
cover: mode cover
cover: depth 50
[engines]
bmc: smtbmc
cover: smtbmc
[script]
ghdl --std=08 test.vhdl -e test
prep -top test
[files]
test.vhdl
sby --yosys "yosys -m ghdl" -f test.sby cover
NOTE:
:file:
and:image:
identifiers are specific to issue-runner. We suggest to use these, since it allows continuous integration workflows to automatically test the MWE. Usingghdl/ghdl:*
docker images to run the MWEs ensures that the latest available GHDL is used.
NOTE: Large files can be uploaded one-by-one or in a tarball/zipfile.
Context
Please, provide the following information:
- OS:
- Origin:
- Package manager:
version
- Released binaries:
tarball_url
- Built from sources:
commit SHA
- Package manager:
If a GHDL Bug occurred
block is shown in the log, please paste it here:
******************** GHDL Bug occurred ***************************
Please report this bug on https://github.com/ghdl/ghdl/issues
...
******************************************************************
Additional context
Add any other context about the problem here. If applicable, add screenshots to help explain your problem.