Skip to content
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
391 commits
Select commit Hold shift + click to select a range
e98382f
dfflegalize: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
1c8483b
zinit: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
336b8c7
dffinit: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
522f367
abc: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
9e72be3
shregmap: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
7b1a4fc
techmap: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
4d9105c
wreduce: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
31d6107
pmux2shift: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
557f81c
proc_dlatch: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
c9251eb
memory_dff: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
bd959d5
async2sync: Refactor to use FfInitVals.
wanda-phi Jul 19, 2020
59c4ad8
Avoid generating wires for function args which are constant
zachjs Jul 25, 2020
f69daf4
Allow blocks with declarations within constant functions
zachjs Jul 25, 2020
58da181
Clearer for loop error messages
zachjs Jul 25, 2020
9bcde4d
Merge pull request #2299 from zachjs/arg-loop
clairexen Jul 26, 2020
62311b7
intel_alm: increase abc9 -W
Ravenslofty Jul 26, 2020
a2fb84f
intel_alm: direct M10K instantiation
Ravenslofty Jul 26, 2020
a1a0abf
equiv_induct: Fix up assumption for $equiv cells in -undef mode.
wanda-phi Jul 27, 2020
66afed6
Merge pull request #2306 from YosysHQ/mwk/equiv_induct-undef
clairexen Jul 28, 2020
45e96d5
Merge pull request #2301 from zachjs/for-loop-errors
clairexen Jul 28, 2020
773b056
ffinit: Fortify the code a bit.
wanda-phi Jul 28, 2020
dc18bf1
opt_expr: Fix handling of $_XNOR_ cells with A = B.
wanda-phi Jul 29, 2020
cc02d58
Clear last error message
mmicko Jul 29, 2020
2f50c5a
Merge pull request #2314 from YosysHQ/verifix_errorfix
mmicko Jul 29, 2020
8fd4351
verilog_backend: Add handling for all FF types.
wanda-phi Jun 23, 2020
af6623e
Add opt_dff pass.
wanda-phi Jul 14, 2020
4a05cad
async2sync: Support all FF types.
wanda-phi Jul 24, 2020
8501342
synth_xilinx: Use opt_dff.
wanda-phi Jul 22, 2020
cf60699
synth_ice40: Use opt_dff.
wanda-phi Jul 22, 2020
6cd135a
opt_expr: Remove -clkinv option, make it the default.
wanda-phi Jul 24, 2020
18ad56e
Add dffunmap pass.
wanda-phi Jul 27, 2020
c39ebe6
Bump YOSYS_VER
clairexen Jul 31, 2020
c3e95eb
Fix generate scoping issues
zachjs Aug 1, 2020
522788f
techmap: Add support for [] wildcards in techmap_celltype.
wanda-phi Aug 2, 2020
83ddc62
Rewrite multirange arrays sizes [n] as [n-1:0]
Jul 17, 2020
6e78f3a
Test multirange (unpacked) arrays size
Aug 3, 2020
ba08c25
Fix subarray access condition
Jul 17, 2020
daee2d9
Add test for subarray access on multidimensional arrays
Aug 3, 2020
e89cc9c
peepopt.muldiv: Add a signedness check.
wanda-phi Aug 4, 2020
b4a4cb0
techmap.CONSTMAP: Handle outputs before inputs.
wanda-phi Aug 5, 2020
c1ed1c2
peeopt.shiftmul: Add a signedness check.
wanda-phi Aug 5, 2020
9a4f420
Replace opt_rmdff with opt_dff.
wanda-phi Jul 20, 2020
acd8c5c
Remove now-redundant opt_rmdff pass.
wanda-phi Jul 15, 2020
a0e99a9
peepopt: Remove now-redundant dffmux pattern.
wanda-phi Jul 15, 2020
5693386
Remove now-redundant dff2dffs pass.
wanda-phi Jul 21, 2020
54a0c08
Remove now-redundant dff2dffe pass.
wanda-phi Jul 21, 2020
2ab350a
opt_clean: Fix module keep rules.
wanda-phi Aug 9, 2020
96ec9ac
Allow %0s $display format specifier
zachjs Aug 9, 2020
2ee0b8e
Propagate const_fold through generate blocks and branches
zachjs Aug 9, 2020
04f6158
Bump version
yosys-bot Aug 10, 2020
1227c36
cxxrtl.h: Fix incorrect CarryOut in alu when Bits % 32 != 0 && Invert…
andy-knowles Aug 12, 2020
97daf61
intel_alm: add more megafunctions. NFC.
Ravenslofty Aug 12, 2020
5829d16
cxxrtl.h: Fix incorrect CarryOut in alu()
andy-knowles Aug 12, 2020
a74a43d
Merge pull request #2340 from andy-knowles/cxxrtl-fix-alu-carryout
whitequark Aug 12, 2020
f61d62a
Bump version
yosys-bot Aug 13, 2020
3b534a2
intel_alm: fix typo in MISTRAL_MUL27X27 cell name
Ravenslofty Aug 13, 2020
3cb3978
Bump version
yosys-bot Aug 14, 2020
2b777bb
opt_share: Refactor, fix some bugs.
wanda-phi Aug 17, 2020
5ee9349
Merge pull request #2281 from zachjs/const-real
clairexen Aug 18, 2020
7f767bf
Merge branch 'const-func-block-var' of https://github.com/zachjs/yosy…
clairexen Aug 18, 2020
fce5f02
Merge branch 'zachjs-const-func-block-var'
clairexen Aug 18, 2020
a9681f4
Merge pull request #2317 from zachjs/expand-genblock
clairexen Aug 18, 2020
4aa0dc4
Merge pull request #2338 from zachjs/const-branch-finish
clairexen Aug 18, 2020
22765ef
Merge pull request #2339 from zachjs/display-format-0s
clairexen Aug 18, 2020
850f66c
include both power-of-two and non-power-of-two testcases
nakengelhardt Aug 18, 2020
928fd40
Respect \A_SIGNED for $shift
Xiretza Jul 3, 2020
9160289
Ensure \A_SIGNED is never used with $shiftx
Xiretza Jul 3, 2020
93d663b
Bump version
yosys-bot Aug 19, 2020
87b9ee3
Merge pull request #2122 from PeterCrozier/struct_array2
clairexen Aug 19, 2020
23719ad
Bump version
yosys-bot Aug 20, 2020
50d532f
techmap/shift_shiftx: Remove the "shiftx2mux" special path.
wanda-phi Aug 19, 2020
1cdb533
Merge pull request #2319 from YosysHQ/mwk/techmap-celltype-pattern
clairexen Aug 20, 2020
16bb3fc
Merge pull request #2326 from YosysHQ/mwk/peeopt-muldiv-sign
clairexen Aug 20, 2020
faf8e19
Merge pull request #2327 from YosysHQ/mwk/techmap-constmap-fix
clairexen Aug 20, 2020
6a68b8e
Merge pull request #2328 from YosysHQ/mwk/opt_dff-cleanup
clairexen Aug 20, 2020
799076a
Merge pull request #2333 from YosysHQ/mwk/peepopt-shiftmul-signed
clairexen Aug 20, 2020
1d0d9d5
Merge pull request #2337 from YosysHQ/mwk/clean-keep-wire
clairexen Aug 20, 2020
a96df40
Merge pull request #2344 from YosysHQ/mwk/opt_share-fixes
clairexen Aug 20, 2020
d9dd8bc
Merge pull request #2347 from YosysHQ/mwk/techmap-shift-fixes
clairexen Aug 20, 2020
034b9ec
intel: move Cyclone V support to intel_alm
Ravenslofty Jul 27, 2020
91682d1
Ensure smt2 comments are associated with accessors
nmoroze Aug 20, 2020
656ee70
proc: Add -nomux switch
pbsds Aug 20, 2020
082cbcb
synth_intel: Remove incomplete Arria 10 GX support.
wanda-phi Aug 20, 2020
dc20d9e
Bump version
yosys-bot Aug 21, 2020
74abc3b
Allow localparams in constant functions
zachjs Aug 21, 2020
6127f22
Module name scope support
zachjs Aug 21, 2020
0f81e27
Merge pull request #2349 from nmoroze/smt2-bugfix
clairexen Aug 22, 2020
12132b6
Bump version
yosys-bot Aug 23, 2020
08a226c
Merge pull request #2351 from pbsds/proc_nomux
whitequark Aug 26, 2020
fe8226a
Add formal apps and template generators
mmicko Aug 26, 2020
4f2b78e
Merge pull request #2355 from YosysHQ/verific_improvements
mmicko Aug 26, 2020
9f08921
flatten, techmap: don't canonicalize tpl driven bits via sigmap.
whitequark Aug 26, 2020
deb19e1
Add -MP to CXXFLAGS.
whitequark Aug 26, 2020
00e7dec
Replace "ILANG" with "RTLIL" everywhere.
whitequark Aug 26, 2020
1a07b33
intel_alm: Add multiply signedness to cells
Ravenslofty Aug 26, 2020
925c0f2
Bump version
yosys-bot Aug 27, 2020
880df4c
dfflegalize: Fix decision tree for adffe.
wanda-phi Aug 27, 2020
702f7c0
Merge pull request #2358 from whitequark/rename-ilang-to-rtlil
whitequark Aug 27, 2020
2d10d59
Merge pull request #2356 from whitequark/flatten-techmap-no-tpl_drive…
whitequark Aug 27, 2020
a017756
Merge pull request #2357 from whitequark/cxxflags-MP
whitequark Aug 27, 2020
eae88df
manual: fix typo.
whitequark Aug 27, 2020
cc0a4e8
Merge pull request #2364 from whitequark/manual-typo
mmicko Aug 27, 2020
c75d8c7
Bump version
yosys-bot Aug 28, 2020
028f96e
intel_alm: better map wide but shallow multiplies
Ravenslofty Aug 26, 2020
f752023
Bump version
yosys-bot Aug 29, 2020
ecc5c23
Fix constant args used with function ports split across declarations
zachjs Aug 29, 2020
c7ceed3
Simple support for %l format specifier
zachjs Aug 29, 2020
c1fff52
write_smt2: fix SMT-LIB tutorial URL
whitequark Aug 29, 2020
3030c2b
Bump version
yosys-bot Aug 30, 2020
b1e3bc0
Fix import of VHDL enums
mmicko Aug 30, 2020
2f93579
Do not check for 1 and 0 only
mmicko Aug 30, 2020
3af499c
ast recognize lower case x and z and verific gives upper case
mmicko Aug 30, 2020
d23e4b4
Merge pull request #2368 from YosysHQ/verific_portrange
clairexen Aug 31, 2020
04d5692
Reorder to prevent crash
mmicko Aug 31, 2020
6224fd9
Add missing gitignores for test artifacts
Xiretza Aug 31, 2020
244af8b
Bump version
yosys-bot Sep 1, 2020
452442a
Merge pull request #2365 from zachjs/const-arg-loop-split-type
clairexen Sep 1, 2020
3e1840d
Merge pull request #2353 from zachjs/top-scope
clairexen Sep 1, 2020
c1a6097
Merge pull request #2366 from zachjs/library-format
clairexen Sep 1, 2020
a108930
Merge pull request #2352 from zachjs/const-func-localparam
clairexen Sep 1, 2020
463869b
Bump version
yosys-bot Sep 2, 2020
3f27a4e
Use latest verific
mmicko Sep 2, 2020
d880f6e
cxxrtl: fix inaccuracy in CXXRTL_ALIAS documentation. NFC.
whitequark Sep 2, 2020
8d6e5c6
cxxrtl: fix typo in comment. NFC.
whitequark Sep 2, 2020
b025ee0
cxxrtl: expose port direction in debug information.
whitequark Sep 2, 2020
c7b2f07
cxxrtl: improve handling of FFs with async inputs (other than CLK).
whitequark Sep 2, 2020
691418e
cxxrtl: expose driver kind in debug information.
whitequark Sep 2, 2020
d963bdb
Bump version
yosys-bot Sep 3, 2020
c66d1df
Merge pull request #2371 from whitequark/cxxrtl-debug-info
whitequark Sep 3, 2020
4af04be
add IdString::isPublic()
nakengelhardt Sep 3, 2020
474cd02
Bump version
yosys-bot Sep 4, 2020
da3002e
Merge pull request #2369 from Xiretza/gitignores
mmicko Sep 10, 2020
859e52a
Bump version
yosys-bot Sep 11, 2020
3238190
use the new isPublic() in a few places
nakengelhardt Sep 14, 2020
b548722
Added $high(), $low(), $left(), $right()
udif Sep 15, 2020
6de7ba0
Fixed comments, removed debug message
udif Sep 16, 2020
7ed0e23
We can now handle array slices (e.g. $size(x[1]) etc. )
udif Sep 16, 2020
9e93796
Merge pull request #2330 from antmicro/arrays-fix-multirange-access
clairexen Sep 17, 2020
f176bd7
Merge pull request #2329 from antmicro/arrays-fix-multirange-size
clairexen Sep 17, 2020
7affef7
Bump version
yosys-bot Sep 18, 2020
4470510
Better error for unsupported SVA sequence
mmicko Sep 18, 2020
e1ae20d
Merge pull request #2381 from YosysHQ/unsupported
clairexen Sep 18, 2020
c6ff947
Bump version
yosys-bot Sep 19, 2020
acd47bb
tests: Centralize test collection and Makefile generation
Xiretza Sep 16, 2020
0126034
tests: Parallelize
Xiretza Sep 16, 2020
e38b830
tests/simple: remove "nullglob" shopt
Xiretza Sep 21, 2020
ed57903
Merge pull request #2372 from nakengelhardt/name_is_public
nakengelhardt Sep 21, 2020
8fbb517
Bump version
yosys-bot Sep 22, 2020
3702434
switch argument order to work with macOS getopt
nakengelhardt Sep 23, 2020
81348d2
Merge pull request #2384 from nakengelhardt/fix_2383
mmicko Sep 23, 2020
de79978
xilinx: do not make DSP48E1 a whitebox for ABC9 by default (#2325)
eddiehung Sep 23, 2020
cd8b2ed
Bump version
yosys-bot Sep 24, 2020
9266d20
Fixed python installation path
btut Sep 25, 2020
412332f
Validate parameters only when they are used
mmicko Sep 25, 2020
4892ec8
Use CXXFLAGS to enable pyosys specific code before generating wrappers
btut Sep 25, 2020
bed1424
tests: add gitignores for auto-generated makefiles
Xiretza Sep 26, 2020
bddd56d
Merge pull request #2387 from btut/fix/pythonWrappersCXXFlags
nakengelhardt Sep 28, 2020
08eb082
Merge pull request #2386 from btut/fix/pyinstallpath
mmicko Sep 28, 2020
8f1d53e
write_verilog: emit intermediate wire for constant values in sensitiv…
nakengelhardt Sep 28, 2020
dc4a617
add tests
nakengelhardt Sep 28, 2020
dfc43c3
Bump version
yosys-bot Sep 29, 2020
e8c9e54
Merge pull request #2392 from YosysHQ/mmicko/hierarchy_fix
clairexen Sep 29, 2020
7b9a93a
Merge pull request #2393 from nakengelhardt/no_const_sensitivity
clairexen Sep 29, 2020
5a3ac39
Bump version
yosys-bot Sep 30, 2020
9e00f3f
Fixed installation dir override for Python scripts
mmicko Sep 30, 2020
a44c5df
use sha1 for parameter list in case if they contain spaces
mmicko Sep 30, 2020
f9ed978
Bump version
yosys-bot Oct 1, 2020
492bd3c
Merge pull request #2395 from YosysHQ/sha1_if_contain_spaces
clairexen Oct 1, 2020
c4bfbec
Update .gitignore
gatecat Oct 1, 2020
2412e75
Merge pull request #2380 from Xiretza/parallel-tests
clairexen Oct 1, 2020
7e2fc2e
Merge pull request #2378 from udif/pr_dollar_high_low
clairexen Oct 1, 2020
46f0932
Ignore empty parameters in Verilog module instantiations
clairexen Oct 1, 2020
a1a3e68
Bump version
yosys-bot Oct 2, 2020
73cd115
Merge pull request #2396 from YosysHQ/claire/empty-param
clairexen Oct 2, 2020
5aa35b8
Bump version
yosys-bot Oct 3, 2020
1b7ed71
Update required Verific version
mmicko Oct 5, 2020
fd306b0
Bump version
yosys-bot Oct 6, 2020
54166ae
smtbmc: escape identifiers in verilog testbench
jakobwenzel Oct 6, 2020
7670a89
opt_clean: Better memory handling.
wanda-phi Oct 8, 2020
c403c98
Bump version
yosys-bot Oct 9, 2020
c8f052b
extend verific library API for formal apps and generators
mmicko Oct 12, 2020
84e9fa7
Bump version
yosys-bot Oct 13, 2020
4d584d9
synth_nexus: Initial implementation
gatecat Oct 1, 2020
66769a3
Merge pull request #2398 from jakobwenzel/smtbmc-escape
clairexen Oct 15, 2020
4c925a3
Bump version
yosys-bot Oct 16, 2020
668d525
sim -vcd: add date, version, and option for timescale
nakengelhardt Oct 16, 2020
eccc48c
wild guessing at the problem because it builds fine on my machines
nakengelhardt Oct 16, 2020
ac0bd2f
Merge pull request #2397 from daveshah1/nexus
mmicko Oct 19, 2020
acc9d05
Fix argument handling in connect_rpc
clairexen Oct 19, 2020
8be5696
Move signal declarations to before first use
jgoeders Oct 19, 2020
06347b1
Bump version
yosys-bot Oct 20, 2020
099d0c2
Merge pull request #2404 from YosysHQ/claire/fixrpcargs
clairexen Oct 20, 2020
e919d0c
Merge pull request #2405 from byuccl/fix_xilinx_cells
clairexen Oct 20, 2020
c76d533
Bump version
yosys-bot Oct 21, 2020
1c96a0b
use strftime instead of put_time for gcc 4.8 compatibility
nakengelhardt Oct 21, 2020
8720482
Add new helper structures to represent memories.
wanda-phi Oct 17, 2020
248b193
memory_nordff: Use Mem helpers.
wanda-phi Oct 17, 2020
e9978aa
memory_collect: Use Mem helpers.
wanda-phi Oct 17, 2020
d390b38
memory_unpack: Use Mem helpers.
wanda-phi Oct 17, 2020
1e80982
memory_map: Use Mem helpers.
wanda-phi Oct 17, 2020
21896e2
memory_bram: Use Mem helpers.
wanda-phi Oct 17, 2020
06141db
opt_mem: Use Mem helpers.
wanda-phi Oct 17, 2020
e759e30
clk2fflogic: Use Mem helper.
wanda-phi Oct 16, 2020
b065e09
sim: Use Mem helper.
wanda-phi Oct 17, 2020
ec483b7
verilog_backend: Use Mem helper.
wanda-phi Oct 17, 2020
f272c8b
smt2: Use Mem helper.
wanda-phi Oct 18, 2020
2d340cd
btor: Use Mem helper.
wanda-phi Oct 18, 2020
1a7a597
Bump version
yosys-bot Oct 22, 2020
eb76d35
memory_dff: Fix needlessly duplicating enable bits.
wanda-phi Oct 22, 2020
3b86b5d
Merge pull request #2403 from nakengelhardt/sim_timescale
nakengelhardt Oct 22, 2020
6d63e58
nexus: Add make_transp to BRAMs
gatecat Oct 22, 2020
623526d
Bump version
yosys-bot Oct 23, 2020
d3b6b7f
xilinx: Fix attributes_test.ys
wanda-phi Oct 23, 2020
e2a39bb
Bump version
yosys-bot Oct 25, 2020
5c36e77
This patch adds support for defining the ABC location at runtime inst…
QuantamHD Oct 29, 2020
86e0440
Update nexus arch tests to new harness
Xiretza Oct 29, 2020
c228cb7
Update verific version
mmicko Oct 30, 2020
166a84b
Bump version
yosys-bot Oct 31, 2020
dfeff65
Merge pull request #2416 from QuantamHD/master
whitequark Oct 31, 2020
56054f2
Bump version
yosys-bot Nov 1, 2020
cdf4ce9
cxxrtl: don't assert on wires with multiple drivers.
whitequark Nov 1, 2020
cc7ad65
Merge pull request #2424 from whitequark/cxxrtl-multiple-drivers
whitequark Nov 1, 2020
2ba05f5
cxxrtl: don't assert on non-constant $meminit inputs.
whitequark Nov 1, 2020
bbaf869
Merge pull request #2425 from whitequark/cxxrtl-meminit-constness
whitequark Nov 1, 2020
d9af3ca
Bump version
yosys-bot Nov 2, 2020
65083e9
cxxrtl: run `hierarchy -auto-top` if no top module is present.
whitequark Nov 2, 2020
c9e6a5b
Merge pull request #2426 from whitequark/cxxrtl-auto-top
whitequark Nov 2, 2020
e7f36d0
Bump version
yosys-bot Nov 3, 2020
829b5cc
Expose abc and data paths as globals
mmicko Nov 6, 2020
6940ef9
Merge pull request #2432 from Xiretza/nexus-tests
mmicko Nov 7, 2020
5594594
Prevent CXXFLAGS from leaking to abc Makefile
zeldin Oct 27, 2020
630be7e
Merge pull request #2414 from zeldin/abc-depend-clang-fix
whitequark Nov 7, 2020
014c7e2
Bump version
yosys-bot Nov 8, 2020
924f171
Merge pull request #2433 from YosysHQ/paths_as_globals
mmicko Nov 10, 2020
71ca9a8
Bump version
yosys-bot Nov 11, 2020
5b9a975
synth_gowin: Add rPLL blackbox
kbeckmann Nov 11, 2020
2ee5db0
Merge pull request #2438 from kbeckmann/gowin_rpll
mmicko Nov 16, 2020
c7cf941
backends/blif: Remove unused vector of strings (#2420)
woodruffw Nov 16, 2020
58e8901
Bump version
yosys-bot Nov 17, 2020
aa4d94f
Fix duplicated parameter name typo
mmicko Nov 18, 2020
923843b
nexus: Add DSP simulation model
gatecat Nov 17, 2020
c8d8098
Merge pull request #2441 from YosysHQ/dave/nexus_dsp_sim
mmicko Nov 18, 2020
5b35d95
Bump version
yosys-bot Nov 19, 2020
9f241c9
nexus: DSP inference support
gatecat Nov 20, 2020
de58e77
Merge pull request #2443 from YosysHQ/dave/nexus-mult-infer
mmicko Nov 20, 2020
0f8b0f6
Merge pull request #84 from YosysHQ/master
litghost Nov 20, 2020
34d1a36
New integration point for master+wip.
litghost Nov 20, 2020
392a192
Revert master+wip to master (0f8b0f671f70e3ec1334d10bf116c18cf5a198a5)
litghost Nov 20, 2020
18f88de
Merge branch 'master' into sync_yosys
litghost Nov 20, 2020
c16d0b2
Octopus merge
litghost Nov 20, 2020
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
The table of contents is too big for display.
Diff view
Diff view
  •  
  •  
  •  
7 changes: 5 additions & 2 deletions CHANGELOG
Original file line number Diff line number Diff line change
Expand Up @@ -39,7 +39,7 @@ Yosys 0.9 .. Yosys 0.9-dev
- Improvements in pmgen: slices, choices, define, generate
- Added "xilinx_srl" for Xilinx shift register extraction
- Removed "shregmap -tech xilinx" (superseded by "xilinx_srl")
- Added "_TECHMAP_WIREINIT_*_" attribute and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
- Added "_TECHMAP_WIREINIT_*_" parameter and "_TECHMAP_REMOVEINIT_*_" wire for "techmap" pass
- Added "-match-init" option to "dff2dffs" pass
- Added "techmap_autopurge" support to techmap
- Added "add -mod <modname[s]>"
Expand All @@ -62,11 +62,14 @@ Yosys 0.9 .. Yosys 0.9-dev
- Improved support of $readmem[hb] Memory Content File inclusion
- Added "opt_lut_ins" pass
- Added "logger" pass
- Removed "dffsr2dff" (use opt_rmdff instead)
- Added "design -delete"
- Added "select -unset"
- Use YosysHQ/abc instead of upstream berkeley-abc/abc
- Added $divfloor and $modfloor cells
- Added $adffe, $dffsre, $sdff, $sdffe, $sdffce, $adlatch cells
- Added "dfflegalize" pass
- Added "_TECHMAP_CELLNAME_" parameter for "techmap" pass
- Merged "dffsr2dff", "opt_rmdff", "dff2dffe", "dff2dffs", "peepopt.dffmux" passes into a new "opt_dff" pass

Yosys 0.8 .. Yosys 0.9
----------------------
Expand Down
1 change: 1 addition & 0 deletions CODEOWNERS
Original file line number Diff line number Diff line change
Expand Up @@ -33,5 +33,6 @@ misc/*.py @btut
backends/firrtl @ucbjrl @azidar

passes/sat/qbfsat.cc @boqwxp
passes/sat/qbfsat.h @boqwxp
passes/cmds/exec.cc @boqwxp
passes/cmds/printattrs.cc @boqwxp
44 changes: 28 additions & 16 deletions Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -30,6 +30,7 @@ ENABLE_GCOV := 0
ENABLE_GPROF := 0
ENABLE_DEBUG := 0
ENABLE_NDEBUG := 0
ENABLE_CCACHE := 0
LINK_CURSES := 0
LINK_TERMCAP := 0
LINK_ABC := 0
Expand Down Expand Up @@ -81,7 +82,7 @@ all: top-all
YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
VPATH := $(YOSYS_SRC)

CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include
LDLIBS := $(LDLIBS) -lstdc++ -lm
PLUGIN_LDFLAGS :=

Expand Down Expand Up @@ -122,7 +123,7 @@ LDFLAGS += -rdynamic
LDLIBS += -lrt
endif

YOSYS_VER := 0.9+2406
YOSYS_VER := 0.9+3683
GIT_REV := $(shell cd $(YOSYS_SRC) && git rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
OBJS = kernel/version_$(GIT_REV).o

Expand Down Expand Up @@ -170,8 +171,7 @@ else
PYTHON_CONFIG := $(PYTHON_EXECUTABLE)-config
endif

PYTHON_PREFIX := $(shell $(PYTHON_CONFIG) --prefix)
PYTHON_DESTDIR := $(PYTHON_PREFIX)/lib/python$(PYTHON_VERSION)/site-packages
PYTHON_DESTDIR := $(shell $(PYTHON_EXECUTABLE) -c "import site; print(site.getsitepackages()[-1]);")

# Reload Makefile.conf to override python specific variables if defined
ifneq ($(wildcard Makefile.conf),)
Expand Down Expand Up @@ -246,7 +246,7 @@ CXXFLAGS := -std=c++11 $(filter-out -fPIC -ggdb,$(CXXFLAGS))
ABCMKARGS += ARCHFLAGS="-DABC_USE_STDINT_H -DABC_MEMALIGN=8"
EMCCFLAGS := -Os -Wno-warn-absolute-paths
EMCCFLAGS += --memory-init-file 0 --embed-file share -s NO_EXIT_RUNTIME=1
EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg']"
EMCCFLAGS += -s EXPORTED_FUNCTIONS="['_main','_run','_prompt','_errmsg','_memset']"
EMCCFLAGS += -s TOTAL_MEMORY=134217728
EMCCFLAGS += -s EXTRA_EXPORTED_RUNTIME_METHODS='["ccall", "cwrap"]'
# https://github.com/kripken/emscripten/blob/master/src/settings.js
Expand Down Expand Up @@ -528,6 +528,10 @@ ifeq ($(ENABLE_COVER),1)
CXXFLAGS += -DYOSYS_ENABLE_COVER
endif

ifeq ($(ENABLE_CCACHE),1)
CXX := ccache $(CXX)
endif

define add_share_file
EXTRA_TARGETS += $(subst //,/,$(1)/$(notdir $(2)))
$(subst //,/,$(1)/$(notdir $(2))): $(2)
Expand Down Expand Up @@ -580,13 +584,16 @@ $(eval $(call add_include_file,kernel/modtools.h))
$(eval $(call add_include_file,kernel/macc.h))
$(eval $(call add_include_file,kernel/utils.h))
$(eval $(call add_include_file,kernel/satgen.h))
$(eval $(call add_include_file,kernel/ff.h))
$(eval $(call add_include_file,kernel/ffinit.h))
$(eval $(call add_include_file,kernel/mem.h))
$(eval $(call add_include_file,libs/ezsat/ezsat.h))
$(eval $(call add_include_file,libs/ezsat/ezminisat.h))
$(eval $(call add_include_file,libs/sha1/sha1.h))
$(eval $(call add_include_file,libs/json11/json11.hpp))
$(eval $(call add_include_file,passes/fsm/fsmdata.h))
$(eval $(call add_include_file,frontends/ast/ast.h))
$(eval $(call add_include_file,backends/ilang/ilang_backend.h))
$(eval $(call add_include_file,backends/rtlil/rtlil_backend.h))
$(eval $(call add_include_file,backends/cxxrtl/cxxrtl.h))
$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd.h))
$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_capi.cc))
Expand All @@ -595,7 +602,7 @@ $(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.cc))
$(eval $(call add_include_file,backends/cxxrtl/cxxrtl_vcd_capi.h))

OBJS += kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o
OBJS += kernel/cellaigs.o kernel/celledges.o
OBJS += kernel/cellaigs.o kernel/celledges.o kernel/satgen.o kernel/mem.o

kernel/log.o: CXXFLAGS += -DYOSYS_SRC='"$(YOSYS_SRC)"'
kernel/yosys.o: CXXFLAGS += -DYOSYS_DATDIR='"$(DATDIR)"' -DYOSYS_PROGRAM_PREFIX='"$(PROGRAM_PREFIX)"'
Expand Down Expand Up @@ -627,7 +634,7 @@ include $(YOSYS_SRC)/techlibs/*/Makefile.inc
else

include $(YOSYS_SRC)/frontends/verilog/Makefile.inc
include $(YOSYS_SRC)/frontends/ilang/Makefile.inc
include $(YOSYS_SRC)/frontends/rtlil/Makefile.inc
include $(YOSYS_SRC)/frontends/ast/Makefile.inc
include $(YOSYS_SRC)/frontends/blif/Makefile.inc

Expand All @@ -644,7 +651,7 @@ include $(YOSYS_SRC)/passes/opt/Makefile.inc
include $(YOSYS_SRC)/passes/techmap/Makefile.inc

include $(YOSYS_SRC)/backends/verilog/Makefile.inc
include $(YOSYS_SRC)/backends/ilang/Makefile.inc
include $(YOSYS_SRC)/backends/rtlil/Makefile.inc

include $(YOSYS_SRC)/techlibs/common/Makefile.inc

Expand All @@ -654,6 +661,10 @@ ifeq ($(LINK_ABC),1)
OBJS += $(PROGRAM_PREFIX)yosys-libabc.a
endif

# prevent the CXXFLAGS set by this Makefile from reaching abc/Makefile,
# especially the -MD flag which will break the build when CXX is clang
unexport CXXFLAGS

top-all: $(TARGETS) $(EXTRA_TARGETS)
@echo ""
@echo " Build successful."
Expand All @@ -679,7 +690,7 @@ endif

%.pyh: %.h
$(Q) mkdir -p $(dir $@)
$(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) -x c++ -o $@ -E -P -
$(P) cat $< | grep -E -v "#[ ]*(include|error)" | $(LD) $(CXXFLAGS) -x c++ -o $@ -E -P -

ifeq ($(ENABLE_PYOSYS),1)
$(PY_WRAPPER_FILE).cc: misc/$(PY_GEN_SCRIPT).py $(PY_WRAP_INCLUDES)
Expand Down Expand Up @@ -783,6 +794,7 @@ test: $(TARGETS) $(EXTRA_TARGETS)
+cd tests/arch/anlogic && bash run-test.sh $(SEEDOPT)
+cd tests/arch/gowin && bash run-test.sh $(SEEDOPT)
+cd tests/arch/intel_alm && bash run-test.sh $(SEEDOPT)
+cd tests/arch/nexus && bash run-test.sh $(SEEDOPT)
+cd tests/rpc && bash run-test.sh
+cd tests/memfile && bash run-test.sh
+cd tests/verilog && bash run-test.sh
Expand Down Expand Up @@ -839,9 +851,9 @@ ifeq ($(ENABLE_LIBYOSYS),1)
$(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(LIBDIR)/
$(INSTALL_SUDO) $(STRIP) -S $(DESTDIR)$(LIBDIR)/libyosys.so
ifeq ($(ENABLE_PYOSYS),1)
$(INSTALL_SUDO) mkdir -p $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
$(INSTALL_SUDO) cp libyosys.so $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
$(INSTALL_SUDO) cp misc/__init__.py $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/
$(INSTALL_SUDO) mkdir -p $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
$(INSTALL_SUDO) cp libyosys.so $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
$(INSTALL_SUDO) cp misc/__init__.py $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/
endif
endif

Expand All @@ -851,9 +863,9 @@ uninstall:
ifeq ($(ENABLE_LIBYOSYS),1)
$(INSTALL_SUDO) rm -vf $(DESTDIR)$(LIBDIR)/libyosys.so
ifeq ($(ENABLE_PYOSYS),1)
$(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
$(INSTALL_SUDO) rm -vf $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/__init__.py
$(INSTALL_SUDO) rmdir $(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
$(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/libyosys.so
$(INSTALL_SUDO) rm -vf $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys/__init__.py
$(INSTALL_SUDO) rmdir $(DESTDIR)$(PYTHON_DESTDIR)/$(subst -,_,$(PROGRAM_PREFIX))pyosys
endif
endif

Expand Down
2 changes: 1 addition & 1 deletion backends/aiger/aiger.cc
Original file line number Diff line number Diff line change
Expand Up @@ -111,7 +111,7 @@ struct AigerWriter

// promote public wires
for (auto wire : module->wires())
if (wire->name[0] == '\\')
if (wire->name.isPublic())
sigmap.add(wire);

// promote input wires
Expand Down
2 changes: 1 addition & 1 deletion backends/aiger/xaiger.cc
Original file line number Diff line number Diff line change
Expand Up @@ -146,7 +146,7 @@ struct XAigerWriter

// promote public wires
for (auto wire : module->wires())
if (wire->name[0] == '\\')
if (wire->name.isPublic())
sigmap.add(wire);

// promote input wires
Expand Down
Loading