-
Notifications
You must be signed in to change notification settings - Fork 1.6k
projects/ad9467_fmc: Add support on ZCU102 #1924
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Open
JRabacca
wants to merge
14
commits into
analogdevicesinc:main
Choose a base branch
from
JRabacca:ad9467_zcu102
base: main
Could not load branches
Branch not found: {{ refName }}
Loading
Could not load tags
Nothing to show
Loading
Are you sure you want to change the base?
Some commits from the old base branch may be removed from the timeline,
and old review comments may become outdated.
Open
Changes from all commits
Commits
Show all changes
14 commits
Select commit
Hold shift + click to select a range
1717ddf
Copied from zedboard's and adapted to be like other ZCU102 system_top.v
JRabacca fe49dff
Change carrier to ZCU102
JRabacca ddfd234
Changed package pins to equivalent in ZCU102
JRabacca 0ac79df
Merge pull request #2 from analogdevicesinc/main
JRabacca 1875e85
Documentation update. Added ZCU102 related information.
JRabacca 5260443
Update projects/ad9467_fmc/zcu102/Makefile
JRabacca 2b36814
Update projects/ad9467_fmc/zcu102/system_bd.tcl
JRabacca 44d4063
Update projects/ad9467_fmc/zcu102/system_constr.xdc
JRabacca 85a23f4
Update projects/ad9467_fmc/zcu102/system_project.tcl
JRabacca ce61957
Update projects/ad9467_fmc/zcu102/system_top.v
JRabacca 38d9b69
Update projects/ad9467_fmc/zcu102/README.md
JRabacca 5b7f30f
Clean up of unnecessary empty lines
JRabacca bbd6559
Added information on VADJ used
JRabacca 0ca0740
Cleanup of spaces in empty line
JRabacca File filter
Filter by extension
Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
Some comments aren't visible on the classic Files Changed page.
There are no files selected for viewing
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,26 @@ | ||
| #################################################################################### | ||
| ## Copyright (c) 2018 - 2025 Analog Devices, Inc. | ||
| ### SPDX short identifier: BSD-1-Clause | ||
| ## Auto-generated, do not modify! | ||
| #################################################################################### | ||
|
|
||
| PROJECT_NAME := ad9467_fmc_zcu102 | ||
|
|
||
| M_DEPS += ../common/ad9467_spi.v | ||
| M_DEPS += ../common/ad9467_bd.tcl | ||
| M_DEPS += ../../scripts/adi_pd.tcl | ||
| M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc | ||
| M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl | ||
| M_DEPS += ../../../library/common/ad_iobuf.v | ||
|
|
||
| LIB_DEPS += axi_ad9467 | ||
| LIB_DEPS += axi_clkgen | ||
| LIB_DEPS += axi_dmac | ||
| LIB_DEPS += axi_hdmi_tx | ||
| LIB_DEPS += axi_i2s_adi | ||
| LIB_DEPS += axi_spdif_tx | ||
| LIB_DEPS += axi_sysid | ||
| LIB_DEPS += sysid_rom | ||
| LIB_DEPS += util_i2c_mixer | ||
|
|
||
| include ../../scripts/project-xilinx.mk |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,13 @@ | ||
| <!-- no_build_example, no_no_os --> | ||
|
|
||
| # AD9467-FMC/ZCU102 HDL Project | ||
|
|
||
| - VADJ with which it was tested in hardware: 1.8V | ||
|
|
||
| ## Building the project | ||
| ``` | ||
| cd projects/ad9467_fmc/ZCU102 | ||
| make | ||
| ``` | ||
|
|
||
| Corresponding device tree: [zynqmp-zcu102-rev10-ad9467-fmc-250ebz.dts](https://github.com/analogdevicesinc/linux/blob/main/arch/arm64/boot/dts/xilinx/zynqmp-zcu102-rev10-ad9467-fmc-250ebz.dts) | ||
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,15 @@ | ||
| ############################################################################### | ||
| ## Copyright (C) 2014-2023, 2025 Analog Devices, Inc. All rights reserved. | ||
| ### SPDX short identifier: ADIBSD | ||
| ############################################################################### | ||
|
|
||
| source $ad_hdl_dir/projects/common/zcu102/zcu102_system_bd.tcl | ||
| source ../common/ad9467_bd.tcl | ||
| source $ad_hdl_dir/projects/scripts/adi_pd.tcl | ||
|
|
||
| #system ID | ||
| ad_ip_parameter axi_sysid_0 CONFIG.ROM_ADDR_BITS 9 | ||
| ad_ip_parameter rom_sys_0 CONFIG.PATH_TO_FILE "$mem_init_sys_file_path/mem_init_sys.txt" | ||
| ad_ip_parameter rom_sys_0 CONFIG.ROM_ADDR_BITS 9 | ||
|
|
||
| sysid_gen_sys_init_file |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,37 @@ | ||
| ############################################################################### | ||
| ## Copyright (C) 2014-2023, 2025 Analog Devices, Inc. All rights reserved. | ||
| ### SPDX short identifier: ADIBSD | ||
| ############################################################################### | ||
|
|
||
| # ad9467 | ||
|
|
||
| set_property -dict {PACKAGE_PIN AA7 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_clk_in_p] ; ## FMC_HPC0_CLK0_M2C_P | ||
| set_property -dict {PACKAGE_PIN AA6 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_clk_in_n] ; ## FMC_HPC0_CLK0_M2C_N | ||
| set_property -dict {PACKAGE_PIN V4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_or_p] ; ## FMC_HPC0_LA08_P | ||
| set_property -dict {PACKAGE_PIN V3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_or_n] ; ## FMC_HPC0_LA08_N | ||
| set_property -dict {PACKAGE_PIN Y3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[0]] ; ## FMC_HPC0_LA00_CC_N | ||
| set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[0]] ; ## FMC_HPC0_LA00_CC_P | ||
| set_property -dict {PACKAGE_PIN AB4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[1]] ; ## FMC_HPC0_LA01_CC_P | ||
| set_property -dict {PACKAGE_PIN AC4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[1]] ; ## FMC_HPC0_LA01_CC_N | ||
| set_property -dict {PACKAGE_PIN V2 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[2]] ; ## FMC_HPC0_LA02_P | ||
| set_property -dict {PACKAGE_PIN V1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[2]] ; ## FMC_HPC0_LA02_N | ||
| set_property -dict {PACKAGE_PIN Y2 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[3]] ; ## FMC_HPC0_LA03_P | ||
| set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[3]] ; ## FMC_HPC0_LA03_N | ||
| set_property -dict {PACKAGE_PIN AA2 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[4]] ; ## FMC_HPC0_LA04_P | ||
| set_property -dict {PACKAGE_PIN AA1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[4]] ; ## FMC_HPC0_LA04_N | ||
| set_property -dict {PACKAGE_PIN AB3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[5]] ; ## FMC_HPC0_LA05_P | ||
| set_property -dict {PACKAGE_PIN AC3 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[5]] ; ## FMC_HPC0_LA05_N | ||
| set_property -dict {PACKAGE_PIN AC2 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[6]] ; ## FMC_HPC0_LA06_P | ||
| set_property -dict {PACKAGE_PIN AC1 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[6]] ; ## FMC_HPC0_LA06_N | ||
| set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_p[7]] ; ## FMC_HPC0_LA07_P | ||
| set_property -dict {PACKAGE_PIN U4 IOSTANDARD LVDS DIFF_TERM_ADV TERM_100} [get_ports adc_data_in_n[7]] ; ## FMC_HPC0_LA07_N | ||
|
|
||
| ## spi | ||
|
|
||
| set_property -dict {PACKAGE_PIN V11 IOSTANDARD LVCMOS18} [get_ports spi_csn_adc] ; ## FMC_HPC0_LA33_N | ||
| set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVCMOS18} [get_ports spi_csn_clk] ; ## FMC_HPC0_LA33_P | ||
| set_property -dict {PACKAGE_PIN T11 IOSTANDARD LVCMOS18} [get_ports spi_clk] ; ## FMC_HPC0_LA32_N | ||
| set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS18} [get_ports spi_sdio] ; ## FMC_HPC0_LA32_P | ||
|
|
||
| # clocks | ||
| create_clock -name adc_clk -period 4.00 [get_ports adc_clk_in_p] |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,19 @@ | ||
| ############################################################################### | ||
| ## Copyright (C) 2014-2023, 2025 Analog Devices, Inc. All rights reserved. | ||
| ### SPDX short identifier: ADIBSD | ||
| ############################################################################### | ||
|
|
||
| # load script | ||
| source ../../../scripts/adi_env.tcl | ||
| source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl | ||
| source $ad_hdl_dir/projects/scripts/adi_board.tcl | ||
|
|
||
| adi_project ad9467_fmc_zcu102 | ||
| adi_project_files ad9467_fmc_zcu102 [list \ | ||
| "../common/ad9467_spi.v" \ | ||
| "$ad_hdl_dir/library/common/ad_iobuf.v" \ | ||
| "system_top.v" \ | ||
| "system_constr.xdc" \ | ||
| "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc"] | ||
|
|
||
| adi_project_run ad9467_fmc_zcu102 |
This file contains hidden or bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
| Original file line number | Diff line number | Diff line change |
|---|---|---|
| @@ -0,0 +1,102 @@ | ||
| // *************************************************************************** | ||
| // *************************************************************************** | ||
| // Copyright (C) 2022-2023, 2025 Analog Devices, Inc. All rights reserved. | ||
| // | ||
| // In this HDL repository, there are many different and unique modules, consisting | ||
| // of various HDL (Verilog or VHDL) components. The individual modules are | ||
| // developed independently, and may be accompanied by separate and unique license | ||
| // terms. | ||
| // | ||
| // The user should read each of these license terms, and understand the | ||
| // freedoms and responsibilities that he or she has by using this source/core. | ||
| // | ||
| // This core is distributed in the hope that it will be useful, but WITHOUT ANY | ||
| // WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR | ||
| // A PARTICULAR PURPOSE. | ||
| // | ||
| // Redistribution and use of source or resulting binaries, with or without modification | ||
| // of this file, are permitted under one of the following two license terms: | ||
| // | ||
| // 1. The GNU General Public License version 2 as published by the | ||
| // Free Software Foundation, which can be found in the top level directory | ||
| // of this repository (LICENSE_GPL2), and also online at: | ||
| // <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html> | ||
| // | ||
| // OR | ||
| // | ||
| // 2. An ADI specific BSD license, which can be found in the top level directory | ||
| // of this repository (LICENSE_ADIBSD), and also on-line at: | ||
| // https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD | ||
| // This will allow to generate bit files and not release the source code, | ||
| // as long as it attaches to an ADI device. | ||
| // | ||
| // *************************************************************************** | ||
| // *************************************************************************** | ||
|
|
||
| `timescale 1ns/100ps | ||
|
|
||
| module system_top ( | ||
|
|
||
| input [12:0] gpio_bd_i, | ||
| output [ 7:0] gpio_bd_o, | ||
|
|
||
| input adc_clk_in_n, | ||
| input adc_clk_in_p, | ||
| input [ 7:0] adc_data_in_n, | ||
| input [ 7:0] adc_data_in_p, | ||
| input adc_data_or_n, | ||
| input adc_data_or_p, | ||
| output spi_clk, | ||
| output spi_csn_adc, | ||
| output spi_csn_clk, | ||
| inout spi_sdio | ||
| ); | ||
|
|
||
| // internal signals | ||
| wire [94:0] gpio_i; | ||
| wire [94:0] gpio_o; | ||
|
|
||
| assign gpio_bd_o = gpio_o[7:0]; | ||
|
|
||
| assign gpio_i[94:21] = gpio_o[94:21]; | ||
| assign gpio_i[20: 8] = gpio_bd_i; | ||
| assign gpio_i[ 7: 0] = gpio_o[ 7: 0]; | ||
|
|
||
| wire [ 1:0] spi_csn; | ||
| wire spi_miso; | ||
| wire spi_mosi; | ||
|
|
||
| assign spi_csn_adc = spi_csn[0]; | ||
| assign spi_csn_clk = spi_csn[1]; | ||
|
|
||
| // instantiations | ||
|
|
||
| ad9467_spi i_spi ( | ||
| .spi_csn(spi_csn), | ||
| .spi_clk(spi_clk), | ||
| .spi_mosi(spi_mosi), | ||
| .spi_miso(spi_miso), | ||
| .spi_sdio(spi_sdio)); | ||
|
|
||
| system_wrapper i_system_wrapper ( | ||
| .gpio_i (gpio_i), | ||
| .gpio_o (gpio_o), | ||
| .gpio_t (), | ||
|
|
||
| .adc_clk_in_n(adc_clk_in_n), | ||
| .adc_clk_in_p(adc_clk_in_p), | ||
| .adc_data_in_n(adc_data_in_n), | ||
| .adc_data_in_p(adc_data_in_p), | ||
| .adc_data_or_n(adc_data_or_n), | ||
| .adc_data_or_p(adc_data_or_p), | ||
|
|
||
| .spi0_csn (spi_csn), | ||
| .spi0_miso (spi_miso), | ||
| .spi0_mosi (spi_mosi), | ||
| .spi0_sclk (spi_clk), | ||
| .spi1_csn (1'b1), | ||
| .spi1_miso (1'b0), | ||
| .spi1_mosi (), | ||
| .spi1_sclk ()); | ||
|
|
||
| endmodule |
Add this suggestion to a batch that can be applied as a single commit.
This suggestion is invalid because no changes were made to the code.
Suggestions cannot be applied while the pull request is closed.
Suggestions cannot be applied while viewing a subset of changes.
Only one suggestion per line can be applied in a batch.
Add this suggestion to a batch that can be applied as a single commit.
Applying suggestions on deleted lines is not supported.
You must change the existing code in this line in order to create a valid suggestion.
Outdated suggestions cannot be applied.
This suggestion has been applied or marked resolved.
Suggestions cannot be applied from pending reviews.
Suggestions cannot be applied on multi-line comments.
Suggestions cannot be applied while the pull request is queued to merge.
Suggestion cannot be applied right now. Please check back later.
Uh oh!
There was an error while loading. Please reload this page.