Skip to content

Old Learning Journey

apaj edited this page Sep 3, 2018 · 1 revision

Learning Journey

Sodor is implemented in a new hardware design language called Chisel, and so is Rocket Chip. The steps below walk you through steps of learning Chisel, starting with simple basics and building upon those, until all the techniques used in Sodor code are covered. The steps should be done in-order.

Please be critical about this learning journey. We are early in the process and need your reactions in order to make it better. Share your experiences and suggestions for improvement here: suggestions for improvement to Learning Journey.

  1. Big Picture Start here, to clue in to why Chisel, the philosophy of Chisel, how Chisel relates to Verilog.

  2. Introduction to Chisel The link gives an introduction to the Chisel language and also points to the Chisel-2 tutorial. Since the Rocket Core is written purely in Chisel-2, it is recommended to start with Chisel-2 only.

  3. Chisel hands-on Step by step examples of writing and running Chisel code. Contains a set of problems which would help you get familiar with implementing hardware in Chisel-2

  4. Advanced Examples of Using Chisel This page shows snippets of Chisel code, and breaks down the snippet, explaining each part of the line of code in detail, with links to documentation on the constructs used and the language features invoked.

  5. Documentation of all known Chisel and Rocket Chip documentation


suggestions for improvement to Learning Journey

Clone this wiki locally