Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Convert wide_fibbonacci AVX backend example to SIMD backend #615

Conversation

andrewmilson
Copy link
Contributor

@andrewmilson andrewmilson commented May 4, 2024

This change is Reviewable

@codecov-commenter
Copy link

codecov-commenter commented May 4, 2024

Codecov Report

All modified and coverable lines are covered by tests ✅

Project coverage is 93.06%. Comparing base (bbeb3be) to head (5c4b79c).

Additional details and impacted files
@@            Coverage Diff             @@
##              dev     #615      +/-   ##
==========================================
- Coverage   93.41%   93.06%   -0.36%     
==========================================
  Files          84       84              
  Lines       11909    11909              
  Branches    11909    11909              
==========================================
- Hits        11125    11083      -42     
- Misses        702      744      +42     
  Partials       82       82              

☔ View full report in Codecov by Sentry.
📢 Have feedback on the report? Share it here.

@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from c67fd63 to aceec97 Compare May 4, 2024 23:21
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from e538634 to d793297 Compare May 4, 2024 23:21
@andrewmilson andrewmilson requested a review from alonh5 May 4, 2024 23:26
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from d9657d9 to 0713b9f Compare May 11, 2024 02:44
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from c6ac9be to f55de63 Compare May 11, 2024 02:44
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 0713b9f to 9e6727f Compare May 15, 2024 04:35
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from f55de63 to 63ff9f4 Compare May 15, 2024 04:35
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 9e6727f to 5dd85bc Compare May 15, 2024 19:38
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from 63ff9f4 to 813449a Compare May 15, 2024 19:38
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 5dd85bc to 0d904e8 Compare May 16, 2024 03:56
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from 813449a to 757b00f Compare May 16, 2024 03:56
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 0d904e8 to af77ce3 Compare May 16, 2024 15:25
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from 757b00f to 94e62ff Compare May 16, 2024 15:25
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from af77ce3 to 3377384 Compare May 16, 2024 16:47
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from 94e62ff to f5cf441 Compare May 16, 2024 16:47
@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 3377384 to 30ac630 Compare May 19, 2024 14:34
@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from f5cf441 to ff7a173 Compare May 19, 2024 14:34
Copy link
Contributor

@alonh5 alonh5 left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Reviewable status: 0 of 2 files reviewed, 1 unresolved discussion (waiting on @andrewmilson)

a discussion (no related file):
Are the benchmarks as fast as our AVXBackend implementation?


@andrewmilson andrewmilson force-pushed the 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon branch from 30ac630 to 4631456 Compare May 20, 2024 14:00
Base automatically changed from 05-04-Update_CI_to_test_Wasm_simd128_avx2_and_aarch64_neon to dev May 20, 2024 14:07
Copy link
Contributor Author

@andrewmilson andrewmilson left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Reviewable status: 0 of 2 files reviewed, 1 unresolved discussion (waiting on @alonh5)

a discussion (no related file):

Previously, alonh5 (Alon Haramati) wrote…

Are the benchmarks as fast as our AVXBackend implementation?

Yes https://starkware-libs.github.io/stwo/dev/bench/index.html


@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch 2 times, most recently from 323a60a to 0304a69 Compare May 20, 2024 14:35
Copy link
Contributor

@alonh5 alonh5 left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

:lgtm:

Reviewed 1 of 2 files at r1, 1 of 2 files at r2, 1 of 1 files at r3, all commit messages.
Reviewable status: all files reviewed, 1 unresolved discussion (waiting on @andrewmilson)


crates/prover/src/examples/wide_fibonacci/simd.rs line 128 at r3 (raw file):

        //   RUST_LOG_SPAN_EVENTS=enter,close RUST_LOG=info RUST_BACKTRACE=1 RUSTFLAGS="
        //   -C target-cpu=native -C target-feature=+avx512f -C opt-level=2" cargo test
        //   test_avx_wide_fib_prove -- --nocapture

Modify as well.

Code quote:

        // Note: To see time measurement, run test with
        //   RUST_LOG_SPAN_EVENTS=enter,close RUST_LOG=info RUST_BACKTRACE=1 RUSTFLAGS="
        //   -C target-cpu=native -C target-feature=+avx512f -C opt-level=2" cargo test
        //   test_avx_wide_fib_prove -- --nocapture

@andrewmilson andrewmilson force-pushed the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch from 0304a69 to 5c4b79c Compare May 20, 2024 14:40
@andrewmilson andrewmilson merged commit 23d2946 into dev May 20, 2024
13 of 14 checks passed
@andrewmilson andrewmilson deleted the 05-04-Convert_wide_fibbonacci_AVX_backend_example_to_SIMD_backend branch May 20, 2024 14:44
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants